KR20090102773A - 사이트-분리된 반응기를 구비한 통합된 도구를 위한 향상된 혼합 시스템 - Google Patents

사이트-분리된 반응기를 구비한 통합된 도구를 위한 향상된 혼합 시스템

Info

Publication number
KR20090102773A
KR20090102773A KR1020097013507A KR20097013507A KR20090102773A KR 20090102773 A KR20090102773 A KR 20090102773A KR 1020097013507 A KR1020097013507 A KR 1020097013507A KR 20097013507 A KR20097013507 A KR 20097013507A KR 20090102773 A KR20090102773 A KR 20090102773A
Authority
KR
South Korea
Prior art keywords
substrate
processing
site
reactor
manifolds
Prior art date
Application number
KR1020097013507A
Other languages
English (en)
Other versions
KR101387877B1 (ko
Inventor
커트 에이치 웨이너
토니 피 장
아론 프랑시스
존 슈미트
Original Assignee
인터몰레큘러 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터몰레큘러 인코퍼레이티드 filed Critical 인터몰레큘러 인코퍼레이티드
Publication of KR20090102773A publication Critical patent/KR20090102773A/ko
Application granted granted Critical
Publication of KR101387877B1 publication Critical patent/KR101387877B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • Y10T137/469Sequentially filled and emptied [e.g., holding type]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining
    • Y10T137/87281System having plural inlets

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

풀-웨이퍼 (full-wafer) 처리 모듈 및 조합형 처리 모듈을 구비한 통합된 처리 도구가 기술된다. 조합형 처리 모듈에서 사용하는 화학 약품은 제 1 매니폴드의 세트를 포함한 전달 시스템으로부터 공급된다. 각 제 1 매니폴드의 출력은 적어도 하나의 혼합 용기에 결합된다. 각 혼합 용기의 출력은 2 이상의 제 2 매니폴드의 세트를 공급한다. 각 제 2 매니폴드의 세트의 출력은 조합형 처리 모듈의 다수의 사이트-분리된 반응기들 중 하나에 공급한다.

Description

사이트-분리된 반응기를 구비한 통합된 도구를 위한 향상된 혼합 시스템{ADVANCED MIXING SYSTEM FOR INTEGRATED TOOL HAVING SITE-ISOLATED REACTORS}
발명자:
Kurt H. Weiner, Tony P. Chiang, Aaron Francis and John Schmidt
기술분야
여기에서의 본 발명은 일반적으로 기판 처리에 관한 것이고, 특히 통합된 사이트-분리된 처리 및 풀-웨이퍼 (full-wafer) 처리를 이용한 기판 처리에 관한 것이다.
배경
실리콘 집적 회로 (IC) 의 각각의 연속적인 생성에 대한 원하는 성능 향상을 달성하기 위하여, 반도체 제조는 새로운 재료들과 향상된 프로세스 시퀀스로의 통합에 크게 의존되었다. 유감스럽게도, 통상의 반도체 제조 장비는 재료 조사 및 통합에 적합하지 않다. 통상의 반도체 제조 장비의 사용에 영향을 미치는 문제들은 신속히 프로세스 재료 및 화학 약품을 변경하는데 대한 어려움, 단일 반응기 또는 프로세스 챔버에서 다수의 재료나 화학 약품을 통합하고 시퀀싱하는 제한된 능력, 높은 장비 사용 비용, 큰 샘플 크기 (300㎜ 웨이퍼) 및 경직된 프로세스/반응기 구성들을 포함한다. 종래의 제조 도구를 보완하기 위하여, 폭 넓은 제조 조건에 대해 새로운 재료 및 재료 처리 시퀀스의 빠른 검사를 용이하게 하는 프로세스 장비에 대한 필요성이 커지고 있다.
도면의 간단한 설명
도 1 은 일 실시형태 하에서, 기판 처리 시스템 (SPS: substrate processing system) 이다.
도 2 는 일 실시형태 하에서, 조합형 프로세스 시퀀스 통합에 대한 흐름도이다.
도 3 은 일 실시형태 하에서, 사이트-분리된 처리 및/또는 종래의 처리를 포함하는 조합형 프로세스 시퀀스의 통합 프로세스이다.
도 4 는 일 실시형태 하에서, 본 명세서에서 다중 채널 사이트-분리된 반응기 (MCSIR: Multiple Channel Site-Isolated Reactor) 라고 불리는 통합된 처리 도구의 블록도이다.
도 5 는 일 실시형태 하에서, MCSIR 의 사이트-분리된 처리 모듈 (SIPM: site-isolated processing module) 이다.
도 6 은 일 실시형태 하에서, SIPM 의 구성 성분들의 서브세트 간의 커플링을 나타낸다.
도 7 은 일 실시형태 하에서, 독립 프로세스 경로 및 폐기물 경로를 포함한 흐름 셀의 블록도이다.
도 8 은 일 실시형태 하에서, 척에 메이팅된 흐름 셀 어셈블리를 포함하는 MCSIR 을 나타낸다.
도 9 는 일 실시형태 하에서, 유체 역학적 시일링 (hydrodynamic sealing) 시스템을 나타낸다.
상세한 설명
본 명세서에서 다중 채널 사이트-분리된 반응기 (MCSIR: Multiple Channel Site-Isolated Reactor) 라고 불리는 통합된 처리 도구가 이하에서 서술된다. MCSIR 은 풀-웨이퍼 처리 모듈 및 조합형, 사이트-분리된 처리 모듈로 구성된다. 통합 처리 도구의 주 목적은 풀-기판과 그 풀-기판의 다수의, 사이트-분리된 영역들 간에 혼합-모드 처리를 실행하는 것이다. 이렇게, 처리 모듈들에서 사용하는 화학 약품들은 그 조성이 조합 방식으로 변화될 수 있고 그 구성물들이 프로세스 시퀀스에 대한 레시피의 일부로서 구체화될 수 있는 용액의 생성을 가능하게 하는 제 1 매니폴드들의 세트를 포함하는 공통 전달 시스템으로부터 공급된다. 용액의 완전한 혼합뿐만 아니라 정확한 온도 및 pH 제어를 허용하기 위하여, 각 제 1 매니폴드의 출력은 적어도 하나의 혼합 용기에 결합된다. 각각의 혼합 용기의 출력은 실질적으로 제 2 매니폴드들의 하나 이상의 세트로 배분된다. 제 2 매니폴드의 각 세트의 출력은 처리 모듈의 하나 이상의 반응기들에 공급한다. 혼합 용기에서 정적으로 혼합되는 용액을 제공하는 것에 더하여, 매니폴드들의 제 2 세트는 다수의 화학 약품들이 동시에 분배되어 용액의 동적, 인-라인 혼합을 용이하게 한다.
사이트-분리된 처리에 대해서, MCSIR 은 풀 기판 상의 다수의 독립 사이트를 집합적으로 어드레싱하는 다수의 독립적으로 제어된 프로세스 챔버를 통합한다. MCSIR 은 직렬 방식 및/또는 병렬 방식의 하나 이상의 방식으로 다양한 화학 약품 용액 또는 조성물을 혼합하고 기판 상에 분배하는 능력을 제공하고, 임의의 수의 반응기들이나 반응기들의 하나 이상의 서브셋에 대해 흐름 및/또는 용액의 조성을 독립적으로 변화시키는 능력을 제공한다. MCSIR 은 프로세스 시퀀스에 대한 광역적 파라미터가 사이트-분리되지 않은 제어 파라미터 (웨이퍼 기판의 온도, 반응기 높이/체적 등) 들에 대한 이러한 유형의 동기화를 요구하는 경우 프로세스 단계들을 동기화하고 모든 사이트-분리된 반응기들에 걸쳐 임계 타이밍을 제어하는 능력을 제공한다.
단일 200-㎜ 또는 300-㎜ 실리콘 기판에 걸쳐 다수의 독립적으로-제어되고 부설된 반응기 또는 프로세스 챔버들을 제공함으로써, 본 명세서에 있어서 서술된MCSIR 은 종래의 반도체 제조 장비로 하여금 재료 조사 및 통합에 적합하지 않게 하는 문제들을 해결한다. 각 사이트-분리된 반응기의 구성 및 흐름 역학은 통상 제조 반응기로부터 스케일링되어 프로세스 통합 시퀀스에 대한 최소 변화로 풀 웨이퍼에 대한 프로세스 스케일-업을 용이하게 한다. 또한, MCSIR 의 재료 전달 시스템은 챔버에 제공되는 재료의 수뿐만 아니라 재료 통합을 달성하는데 이용되는 프로세스 시퀀스의 단계들 양자 모두에서 보다 큰 유연성을 갖도록 구성된다. 재료 연구 및 통합을 위한 반응기의 소형화 및 완화된 장비 요건은 또한 제조 도구에 비해 장비의 비용을 절감시킨다.
기판을 처리하기 위한 시스템 및 방법 (예를 들어, 기판 상에 재료를 형성하기 위한 시스템 및 방법) 은 이하에서 기술된다. 본 명세서에서 "기판 처리 시스템 (SPS: substrate processing system)" 이라고 집합적으로 불리는 기판을 처리하는 시스템 및 방법은 이하에서 상세히 기술되는 바와 같이 조합형 처리, 종래의 기판 처리와 통합된 조합형 프로세스 시퀀스, 및/또는 사이트-분리된 처리를 포함한다. 일 실시형태의 SPS 는 매우 적은 비용에서 기판 상의 매우 작은 (예를 들어, 나노 크기 규모에서) 구조물 또는 피쳐의 제조를 가능하게 하며, 이것은 예를 들어, 전자 컴포넌트 및 평판 패널 디스플레이와 같은 다양한 제품의 상용 제조에 유용할 수 있다. 이하에서 기술되는 다양한 시스템 및 방법은 예시로서만 나타내고 조합형 처리, 종래의 기판 처리와 통합된 조합형 프로세스 시퀀스 및/또는 사이트-분리된 처리의 특정 조합으로 본 명세서에서 서술되고 청구된 시스템 및 방법을 제한하도록 의도되지 않는다. 더욱이, 이하에서 서술되는 시스템 및 방법은 특정 프로세스 (예를 들어, 습식 프로세스, 건식 프로세스 등) 에 제한되지 않는다.
다음의 설명에서, 다양한 구체적 상세함은 SPS 의 실시형태에 대한 완전한 이해와 그에 대한 가능한 설명을 제공하도록 도입된다. 그러나, 관련 기술에서의 당업자는 하나 이상의 구체적 상세함 없이 또는 다른 컴포넌트, 시스템 등을 가지고 실시될 수 있다. 다른 경우, 공지된 구조물 또는 동작은 개시된 실시형태의 양태를 모호하게 하는 것을 방지하기 위하여 도시되지 않고, 또한 상세히 기술되지 않는다.
일 실시형태의 SPS 는 일반적으로 적어도 하나의 기판을 수용하도록 구성된 적어도 하나의 인터페이스를 포함한다. SPS 는 또한 인터페이스에 결합된 다수의 모듈을 포함한다. 본 명세서에서 컴포넌트로서 불리는 모듈은 또한 사전-처리 모듈, 처리 모듈, 및 사후-처리 모듈을 포함하나, 다른 모듈에 대한 임의의 수 및/또는 유형을 포함할 수도 있으며, 여기서 모듈들 중 어느 하나가 사전-처리 모듈, 처리 모듈, 및/또는 사후-처리 모듈의 기능들을 포함할 수 있다. SPS 는 어느 특정 모듈 유형의 각각에 대해 적어도 하나를 포함하도록 요구되지 않는다. 또한, 사전-처리 모듈, 처리 모듈 및 사후-처리 모듈의 모두에 대한 기능들은 단일 모듈 내에 내장될 수도 있다. 다수의 모듈들 중 각 모듈은 모듈들 중 적어도 하나의 다른 모듈에 포함된 프로세스들에 적당한 다수의 상이한 프로세스들 중 적어도 하나를 포함할 수 있다. SPS 는 또한 인터페이스에 결합되고 인터페이스와 하나 이상의 모듈들 간에서 기판을 이동시키도록 구성된 적어도 하나의 핸들러를 포함한다.
도 1 은 일 실시형태에 따른, 기판 처리 시스템 (SPS) (100) 이다. 기판 처리 시스템 (100) 은 사전-처리 모듈 (101), 처리 모듈 (102) 및 사후-처리 모듈 (103) 을 포함한다. SPS (100) 는 각각의 상기 모듈 유형에 대해 적어도 하나를 포함하도록 요구되지 않는다; 예를 들어, 특정 프로세스 흐름은 오직 처리 모듈 (102) 과, 기판을 시스템 (100) 안으로 및 밖으로 이동시키는 수단만을 포함할 수도 있다. 또한, 사전-처리 모듈, 처리 모듈 및 사후-처리 모듈 모두의 기능은 단일 모듈 내부에 내장될 수도 있다. 모듈들 (101, 102, 및 103) 은 모듈들 (101, 102, 및 103) 이 사용될 것인 기판 처리의 유형에 적절한 장치 (특히, 종래의 상용 기판 처리 장치) 를 이용하여 각각 구현될 수 있다. 모듈 (101, 102, 및 103) 은 기판 및/또는 프로세스의 특성에 따라 변경 및/또는 추가로 구현될 수 있다.
기판은 또한 공장 인터페이스 (104) 로서 불리는 시스템 인터페이스 (104) 를 통해 시스템 (100) 에 출입한다. 단일 기판이 시스템 (100) 내에서 한번에 처리될 수 있거나 다수의 기판들이 배치식으로 동시에 처리될 수 있다. 시스템 인터페이스 (104) 는 기판(들)을 시스템 (100) 안으로 및 밖으로 이동시키는 기판 핸들러 (104a) (이것은 예를 들어, 로봇을 이용하여 구현될 수 있다) 를 포함한다. 기판을 시스템 (100) 안으로 및 밖으로 이동시키는 것을 용이하게 하기 위하여, 시스템 인터페이스 (104) 는 기판 로드 스테이션 (104b) 및 기판 언로딩 스테이션 (104c) (또한, 각각 전면 개방 통합된 포드 (FOUP: Front Opening Unified Pod) 로드 스테이션 (104b) 및 FOUP 언로드 스테이션 (104c) 로 불림) 을 포함한다.
처리된 기판(들)이 시스템 (100) 으로부터 제거되고, 기판 핸들러 (104a) 에 의해 (또다른 위치로의 결과적인 움직임을 위해) 기판 언로드 스테이션 (104c) 에 놓인 후, 기판 로드 스테이션 (104b) 상에 이미 놓여진 새로운 기판(들)은 기판 핸들러 (104a) 에 의해 기판 로드 스테이션 (104b) 으로부터 취해지고, 처리를 위해 시스템 (100) 으로 이동된다. (기판 핸들러 (104a), 기판 로드 스테이션 (104b) 및 기판 언로드 스테이션 (104c) 을 포함한) 시스템 인터페이스 (104) 은 기판을 처리하는 분야의 당업자에게 공지된 종래의 장치 및 방법들을 이용하여 구현될 수 있다. 하나 이상의 다른 실시형태들의 시스템 (100) 은 다수의 시스템 인터페이스들을 포함할 수 있으며, 각각은 상술한 바와 같이 구성되고 동작될 수 있다.
일단 시스템 (100) 에서, 기판 취급 시스템 (105) 은 시스템 (100) 의 상이한 모듈들 (101-103) 사이에서 시스템 (100) 에 의해 처리되는 기판(들)을 이동하도록 사용될 수 있다. 시스템 인터페이스 (104) 의 기판 핸들러 (104a) 와 같이, 기판 취급 시스템 (105) 은 예를 들어, 하나 이상의 로봇들을 이용하여 구현될 수 있다. 모듈들 (101, 102, 및 103) 이 습식 처리 모듈 및 건식 처리 모듈 양자 모두를 포함하면, 기판 취급 시스템 (105) 은 적어도 2 종류의 장치를 포함한다: 건식 처리 모듈 및 시스템 인터페이스 (104) 안으로 및 밖으로 그리고 건조 모듈 밖으로 기판(들)을 이동시키는 건식 기판 핸들러와, 습식 처리 모듈 안으로 및 밖으로 그리고 건조 모듈 안으로 기판(들)을 이동시키는 습식 기판 핸들러. 기판 취급 시스템 (105) 은 기판을 처리하는 분야의 당업자에게 공지된 장치 및 방법들을 이용하여 구현될 수 있다.
기판(들)이 시스템 인터페이스 (104) 를 통해 시스템 (100) 안으로 또는 밖으로 이동 중에 있는 경우 이외에는, 시스템 (100) 이 외부 환경으로부터 시일링된다. 시스템 (100) 에 의해 실시될 처리에 따라서, 사전-처리 모듈 (101), 처리 모듈 (102) 및 사후-처리 모듈 (103) 의 외부에 있는 시스템 (100) 내의 환경 (편의상, 이하에서 종종 "시스템 환경" 이라 불림) 은 대기압 하에 유지되고, 진공 하에 유지되며, 및/또는 가압 (즉, 대기압 위의 압력 하에서 유지) 될 수 있다. 유사하게, 시스템 환경은 시스템 (100) 밖의 환경에 대한 주위 온도에서 또는 그 주위 온도보다 높거나 낮은 온도에서 유지될 수 있다.
게다가, 시스템 환경의 가스 조성은 원하는 바와 같이 제어될 수 있다. 예를 들어, 시스템 환경은 (통상, 외부 환경으로부터의 오염을 저감하도록 제어된) 대기일 수 있다. 시스템 환경은 또한 전체 또는 일부에서 특정 가스 또는 가스들을 포함하도록 제어될 수 있으며, 예를 들어, 반도체 웨이퍼들을 처리하도록 사용된 시스템에서는, 시스템 환경이 질소 가스 또는 불활성 가스 상태이도록 제어될 수 있다. 시스템 환경은 또한 특정 가스 또는 가스들을 배제하도록 제어될 수 있으며, 예를 들어, 시스템 환경으로부터 산소가 배제되어 시스템 내에 처리된 기판(들) (또는 그 기판 상에 형성된 재료(들)) 의 산화의 발생을 저감할 수 있다.
다른 실시형태의 SPS 는 단일 웨이퍼 또는 웨이퍼들의 단일 배치를 처리하는데 사용되는 다수의 각 유형의 모듈을 포함할 수 있고; 그러므로, 다수의 버전의 SPS 는 단일 시스템으로 병렬로 동작될 수 있다. 이것은 SPS 에 의해 처리된 기판의 쓰루풋을 개선할 수 있다. 이것은 또한 리던던시를 추가하여 시스템 이용가능성이 심지어 시스템의 하나 이상의 모듈이 소정 기간의 시간 동안 (예를 들어, 예방적 유지 관리, 수선 등 동안) 비-동작적으로 되게 하는 경우에도 유지될 수 있다.
상술한 SPS 는 일례로서 나타내고, 다른 수의 처리 모듈을 포함한 시스템이 사용될 수 있다. 또한, 상술한 이들과 다른 처리 모듈의 유형들이 사용될 수 있다. 기판(들) 의 수동 로딩 및 언로딩이 시스템 안으로 및 밖으로 기판(들)을 이동시키기 위해 기판 핸들러 대신 일부 처리 시스템에서 사용될 수도 있다.
상술한 SPS (100) 는 단일 기판 상의 영역들을 조합적으로 처리하기 위해 하나 이상의 모듈들 (또한 컴포넌트들이라고 불림) 및/또는 방법들을 포함할 수 있다. 일반적으로, 영역들의 어레이는 기판 상의 하나 이상의 영역들에 처리 재료들을 전달하는 것 및/또는 그 영역들을 변경하는 것에 의해 조합적으로 처리된다. 일 실시형태의 기판 상의 영역들은 미리-정의된 영역들 및/또는 기판의 처리 동안 및/또는 기판의 처리 결과로서 식별된 영역들을 포함하나 이에 제한되지 않는다.
도 2 는 일 실시형태 하에서, 조합형 프로세스 시퀀스의 통합에 대한 흐름도이다. 실시형태는 분석을 위해 원하는 프로세스를 실시할 (효과적인 단위 프로세스를 총체적으로 실시하는 이산적인 단위 모듈들로 구성된 통합된 도구일 수도 있거나 아닐 수도 있는) 처리 도구를 이용할 수도 있다. 일 실시형태에서, 처리 도구는 IC 제조 시 사용된 300㎜ 직경 웨이퍼와 같이 단일 모놀리스 (monolithic) 기판에 포함된 고유한 영역들 내에서 이산화된 방식으로 프로세스를 실시할 수 있다. 기판은 시스템에 제공되고 (200), 이산화된, 바람직하게는 분리된 방식 (직렬 모드, 병렬 모드, 또는 직렬-병렬 모드 중 어느 하나) 으로 처리되어 기판의 적어도 2 개 영역들이 서로 상이하게 처리된다 (210). 조합 방식으로 처리되는 기판은 선택적으로 적어도 하나의 프로세스 또는 프로세스 단계와 함께 종래의 방식으로 이전에 (220) 및/또는 후속하여 (230) 처리될 수 있으므로, 전체 기판 또는 실질적으로 전체 기판에 가까운 기판이 동일한 처리 조건에 종속된다. 이것은 서술된 조합형 처리/조합형 프로세스 시퀀스 통합의 접근법으로 하여금 최종 디바이스(들), 집적 회로 등을 만드는데 요구된 프로세스 흐름의 원하는 세그먼트들 내에서 사용되게 한다.
디바이스들 또는 생성된 디바이스들의 일부와 같이 처리된 영역들은 저항, 선 저항, 정전용량 등을 통해 수율과 같은 특성에 대한 파라미터 검사 및/또는 스트레스 이동, 전자 이동 (electromigration), 바이어스 열 스트레스, 시간 의존 유전체 파괴와 같은 특성에 대한 신뢰성 검사와 당업자에게 공지된 관련 검사와 같이, 분석을 위한 종래의 방법들을 이용하여 관심 있는 특성에 대해 검사될 수 있다. 처리된 영역들은 동시에, 순차적으로, 또는 제 1 복수의 영역들이 동시에 검사되고, 이어서 제 2 복수의 영역들이 동시에 검사되는 병렬-직렬 모드로 검사될 수 있다. 검사 (240) 는 부가적으로 조합형 프로세스 시퀀스 통합을 위한 방법론의 하나 이상의 다른 실시형태로 실시된다.
일 실시형태의 조합형 프로세스 시퀀스 통합은 하나 이상의 프로세스들을 실시하는 본 명세서에서 사이트-분리된 처리 도구 (또한 사이트-분리된 반응기 (SIR: site-isolated reactor) 로서 불림) 로서 불리는 처리 도구를 사용한다. 일 실시형태에서, 사이트-분리된 처리 도구는 기판의 고유한 영역들 내에서 이산화되고, 분리된 방식 (직렬 모드, 병렬 모드, 또는 직렬-병렬 모드 중 어느 하나) 으로 기판을 처리한다 (예를 들어, 기판의 적어도 2 개 영역들이 서로 상이하게 처리된다). 어레이의 영역들의 처리 시, 본 명세서에서 상술한 바와 같이, 처리하는 재료들은 (미리 정의된 영역들을 포함한) 기판상의 영역들로 전달될 수 있고/있거나 (미리 정의된 영역들을 포함한) 영역들은 임의의 수의 종래의 처리 프로세스 또는 시퀀스와 함께 임의의 수의 사이트-분리된 처리 프로세스 또는 시퀀스를 이용하여 변경될 수 있다.
예를 들어, 본 명세서에서 서술된 조합형 프로세스 시퀀스 통합 하에서의 방법은 성막, 패터닝, 에칭, 세정, 평탄화, 주입 및 검사를 포함한 그룹으로부터 선택된 적어도 하나의 제 1 프로세스로부터 기판을 수용한다. 그 방법은 기판의 적어도 하나의 영역을 기판의 적어도 하나의 다른 영역과 상이하게 처리하여 처리된 기판을 생성한다. 처리는 적어도 하나의 영역을 변경하는 것 (modifying) 을 포함하며, 여기서 변경은 물리적 변경, 화학적 변경, 전기적 변경, 열적 변경, 자기적 변경, 광자적 변경 및 광분해적 변경 중 적어도 하나를 포함한다. 처리는 기판 상에 상이하게 처리된 영역들의 적어도 하나의 어레이를 형성한다. 일 실시형태에서, 상술한 처리는 재료, 처리 조건, 프로세스 시퀀스, 프로세스 시퀀스 통합, 및 프로세스 시퀀스 조건 중 적어도 하나를 이용한 변경을 포함한다. 하나의 다른 실시형태에서, 상술한 처리된 기판은 성막, 패터닝, 에칭, 세정, 평탄화, 주입 및 검사를 포함한 그룹으로부터 선택된 적어도 하나의 추가적 프로세스를 받는다.
또다른 예로서, 본 명세서에서 서술된 조합형 프로세스 시퀀스 통합은 기판의 적어도 하나의 영역을 기판의 적어도 하나의 다른 영역과 상이하게 처리함으로써 처리된 기판을 생성한다. 처리는 적어도 하나의 영역을 변경하는 것을 포함하며, 여기서 변경은 물리적 변경, 화학적 변경, 전기적 변경, 열적 변경, 자기적 변경, 광학적 변경, 및 광분해적 변경 중 적어도 하나를 포함한다. 처리는 기판 상에서 상이하게 처리된 영역들의 적어도 하나의 어레이를 형성한다. 그 방법은 성막, 패터닝, 에칭, 세정, 평탄화, 주입 및 검사를 포함한 그룹으로부터 선택된 적어도 하나의 추가적 프로세스에 처리된 기판을 제공함으로써 계속된다. 일 실시형태에서, 상술한 처리는 재료, 처리 조건, 프로세스 시퀀스, 프로세스 시퀀스 통합 및 프로세스 시퀀스 조건 중 적어도 하나를 이용한 변경을 포함한다.
도 3 는 일 실시형태 하에서, 사이트-분리된 처리 및/또는 종래의 처리를 포함하는 조합형 프로세스 시퀀스 통합의 프로세스 흐름 (300) 이다. 본 실시형태 하에서의 처리 시퀀스의 일례는 다음과 같다: 종래의 프로세스 N 을 이용하여 기판을 처리, 이후 사이트-분리된 프로세스 N+1 을 이용하여 기판을 처리, 다음으로 사이트-분리된 프로세스 N+2 를 이용하여 기판을 처리, 그 다음 종래의 프로세스 N+3 을 이용하여 기판을 처리, 그 후 E-검사 (예를 들어, 전기적 검사) 를 실시. 본 실시형태 하에서의 처리 시퀀스의 또다른 예는 다음과 같다: 사이트-분리된 프로세스 N 을 이용하여 기판을 처리, 이후 사이트-분리된 프로세스 N+1 을 이용하여 기판을 처리, 다음으로 종래의 프로세스 N+2 를 이용하여 기판을 처리, 그 다음 사이트-분리된 프로세스 N+3 을 이용하여 기판을 처리, 그 후 E-검사를 실시. 본 실시형태 하에서의 처리 시퀀스의 또다른 예는 다음과 같다: 사이트-분리된 프로세스 N 을 이용하여 기판을 처리, 이후 종래의 프로세스 N+1 를 이용하여 기판을 처리, 다음으로 사이트-분리된 프로세스 N+2 를 이용하여 기판을 처리, 그 다음 종래의 프로세스 N+3 을 이용하여 기판을 처리, 그 후 E-검사를 실시. 다양한 다른 처리 시퀀스는 프로세스 흐름 (300) 에 따라 달성될 수 있다.
이로써, 조합형 프로세스 시퀀스 통합은 예를 들어, 테스트 다이 및/또는 의도된 집적 회로를 포함한 실제 제품 다이일 수 있는 복수의 다이 (304) 를 구비하는 다이 어레이를 포함한 반도체 웨이퍼 (302) 를 생성한다. 블랭킷 웨이퍼, 패턴 웨이퍼, 디바이스, 기능 칩, 기능 디바이스, 테스트 구조체, 반도체, 집적 회로, 평면 패널 디스플레이, 광전자 디바이스, 데이터 저장 디바이스, 자기 전자 디바이스, 자기 광학 디바이스, 분자 전자 디바이스, 태양 전지, 광 디바이스, 및 패키징된 디바이스는 상술한 조합형 프로세스 시퀀스 통합 방법론을 이용하여 처리 및/또는 생성될 수 있다. 조합형 프로세스 시퀀스 통합은 전체 프로세스 흐름의 임의의 원하는 세그먼트(들) 및/또는 일부(들)에 적용될 수 있다. 전기적 검사를 포함하는 특성 평가는 각 프로세스 단계 및/또는 필요 및/또는 희망에 따라 프로세스 흐름 내의 일련의 프로세스 단계들 후에 실시될 수 있다.
SPS 의 실시형태는 기판상의 하나 이상의 미리 정의된 영역에 처리 재료들을 전달하고, 다수의 상이한 기술을 이용하여 그 전달된 재료들을 반응시킨다. 예를 들어, 처리 재료들은 예를 들어, 용액 기반 합성 기술, 광 화학적 기술, 중합 기술, 템플릿에 의한 합성 기술, 에피텍셜 성장 기술, 졸-겔 프로세스에 의한 수단, 열, 적외선 또는 마이크로파 가열에 의한 수단, 소성, 소결 또는 어닐링에 의한 수단, 열수 방법, 플럭스 방법, 솔벤트 기화를 통한 결정화 수단 등을 이용하여 반응될 수 있다. 관심 있는 처리 재료들을 반응시키는데 사용될 수 있는 다른 유용한 반응 기술은 당업자에게 매우 명백할 것이다.
기판의 영역들이 서로에 대해 독립적으로 처리되기 때문에, 다른 영역들에서의 처리 조건은 독립적으로 제어될 수 있다. 이와 같이, 프로세스 재료 양, 반응 솔벤트, 처리 온도, 처리 시간, 처리 압력, 반응이 제지되는 레이트, 프로세스 재료들의 성막 순서, 프로세스 시퀀스 단계 등은 기판 상의 영역마다 변화될 수 있다. 이로써, 예를 들어, 재료를 조사할 때, 제 1 영역 및 제 2 영역에 전달되는 처리 재료가 동일하거나 상이할 수 있다. 제 1 영역에 전달되는 처리 재료가 제 2 영역에 전달되는 처리 재료와 동일한 경우, 이 처리 재료는 동일한 농도 또는 상이한 농도 중 어느 하나에서 기판 상의 제 1 영역 및 제 2 영역에 제공될 수 있다. 이것은 제 1 영역 및 제 2 영역 등에 전달되는 추가적인 처리 재료에 대해서도 사실이다. 제 1 영역 및 제 2 영역에 전달되는 처리 재료의 경우와 같이, 제 1 영역 및 제 2 영역에 전달되는 추가적인 처리 재료는 동일하거나 상이할 수 있으며, 만약 동일한 경우, 동일한 농도 또는 상이한 농도 중 어느 하나에서 기판 상의 제 1 영역 및 제 2 영역에 제공될 수 있다.
또한, 기판 상의 주어진 미리 정의된 영역 내에서, 처리 재료는 균일한 방식 또는 그레디언트 (gradient) 방식으로 전달될 수 있다. 동일한 농도에서 기판의 제 1 영역 및 제 2 영역에 동일한 처리 재료들이 전달되면, 영역이 처리되는 조건 (예를 들어, 반응 온도, 반응 시간 등) 은 영역마다 변화될 수 있다. 변화될 수 있는 파라미터들은 예를 들어, 재료량, 솔벤트, 프로세스 온도, 프로세스 시간, 프로세스가 수행되는 압력, 프로세스가 행해지는 분위기, 프로세스가 제지되는 레이트, 재료들이 성막되는 순서 등을 포함한다. 변화될 수 있는 다른 프로세스 파라미터들은 당업자에게 명백할 것이다.
또한, 일 실시형태는 IC 칩 상의 영역들의 상이한 부분들을 나타내기 위하여 유전체 재료 표면 및 전기적 도전 표면과 같은 상이한 표면들을 갖는 제 1 표면과 제 2 표면 양자 모두에 대응한 영역들에 사실상 동일한 농도에서 동일한 처리 재료를 실질적으로 전달하여 재료에 대한 적어도 2 개의 상이한 어레이들 형성하고, 그 후, 기판 상의 프로세스 재료들에 제 1 세트의 프로세스 조건을 행하기 위해 제공된다. 이 방법을 이용하여, 다양한 기판 표면에 프로세스 파라미터 또는 재료에 대한 결과가 연구되고, 다음으로 최적화될 수 있다.
개별 영역들의 처리 시 이용된 처리 재료는 종종 인접한 영역들로 이동되는 것이 방지되어야 한다. 가장 단순하게, 이것은 다양한 처리 재료들이 영역들 간에 상호 확산되지 않도록 기판 상의 영역들 간에 충분한 공간을 남김으로써 확보될 수 있다. 또한, 이것은 처리 시 기판 상의 다양한 영역들 간에 적절한 배리어를 제공하여 확보될 수 있다. 일 접근법에서, 기계적 디바이스 또는 물리적 구조물은 기판 상의 다양한 영역을 정의한다. 예를 들어, 벽 또는 다른 물리적 배리어는 개별 영역들 내의 재료들이 인접한 영역들로 이동되는 것을 방지하는데 사용될 수 있다. 이 벽 또는 물리적 배리어는 합성이 완료된 후 제거될 수도 있다. 당업자는 때때로, 재료들의 어레이를 선별하기 전에 그 벽 또는 물리적 배리어를 제거하는 것이 바람직할 수도 있다는 것을 이해할 것이다.
다른 실시형태에서, 처리는 물리적으로 기판과 접촉하는 배리어의 필요성 없이 달성될 수도 있다. 예를 들어, 레이저, 방사성 램프, UV 복사 소스, 다른 "점" 소스는 변경의 면적이 기판 상의 관심 있는 이산 영역보다 크기에 있어서 명목상 작고/작거나 동일할 때 사이트 어드레스 가능한 방식으로 영역들을 처리하는데 사용될 수 있다. 또다른 실시형태에서, 물리적 배리어는 원하는 영역(들) 및/또는 물리적 배리어가 물리적으로 기판과 접촉하지 않는 영역(들)의 일부(들)에 대한 처리를 본질적으로 선별 및/또는 제한하는데 사용될 수 있다. 예를 들어, 물리적 배리어는 어떤 영역(들) 및/또는 영역(들)의 일부(들)에 대한 처리를 본질적으로 막고/막거나 한정하는데 사용될 수 있다. 마스크나 셔터와 같은 스크린은 예를 들어, PVD (즉, 스퍼터링) 또는 증착 소스로부터와 같은 증기 플럭스를 막는데 사용될 수 있다. 불투명 마스크 대 투명 마스크는 기판 상의 특정된 영역 내의 처리를 달성하기 위하여 투명 영역을 통해 어떤 복사를 허용하는데 사용될 수 있다. 또다른 실시형태에서, 바람직하게 아르곤 (Ar) 과 같은 불활성 가스의 가스 흐름은 기체 시약을 선별하고/선별하거나 이러한 시약의 농도를 제한하여 어떤 영역들에서 이러한 시약의 효과를 효과적으로 선별하는데 사용될 수 있다. 본 방식에서, 기판 상의 특정 영역들은 기판을 통해 물리적 배리어에 대한 필요 없이 상이하게 처리될 수 있다. 본 접근법은 특히 원자층 증착과 같은 순차적 기상 진공 기반 표면 운동 프로세스와 그 다양한 형태 (예를 들어, 이온, 라디칼, 및 플라즈마 유도/강화) 로 다루기 쉽다.
일 실시형태의 SPS 는 전체 기판에 걸쳐 균일한 처리 및 개별적으로 기판 상의 하나 이상의 이산 영역들의 독립적 처리 양자 모두를 달성하도록 구성된 처리 도구를 포함한다. 도 2 및 도 3 을 참조하여 상술된 조합형 프로세스 시퀀스 통합의 프로세스 흐름 하에서의 동작을 포함하는, 본 명세서에 서술된 처리 도구는 도 1 을 참조하여 상술한 SPS (100) 와 같은 기판 처리 시스템의 컴포넌트 및/또는 상술한 SPS (100) 의 하나 이상의 모듈일 수 있다. 도 3 의 조합형 프로세스 시퀀스 통합의 프로세스 흐름 (300) 은 예를 들어, SPS (100) (도 1) 의 처리 모듈 (102) 에서 구체화될 수 있다. 유사하게, 조합형 프로세스 시퀀스 통합의 프로세스 흐름 (300) 은 또다른 예로서 SPS (100) 의 하나 이상의 처리 모듈들 (101, 102, 및 103) (도 1) 에 걸쳐 구체화될 수 있다.
일 실시형태의 SPS 는 풀-웨이퍼 처리 및 조합형 처리 양자 모두를 지원하는 통합된 처리 도구를 포함한다. 도 4 는 일 실시형태 하에서, 본 명세서에서 다중 채널 사이트-분리된 반응기 (MCSIR: Multiple Channel Site-Isolated Reactor) 로서 불리는 통합된 처리 도구 (400) 의 블록도이다. MSCIR (400) 은 이하에서 상세히 서술되는 바와 같이 풀-웨이퍼 처리 모듈 (405), 사이트-분리된 처리 모듈 (SIPM: site-isolated processing module) (409) 을 포함한다. MCSIR (400) 은 프로세스 시퀀스를 실행하는데 필요한 생 (raw) 화학 약품들 (401) 을 제공하는 벌크 화학 약품 분배 시스템뿐만 아니라 혼합 용기 및 분배 매니폴드의 형태의 화학 약품 혼합 및 시퀀싱 하드웨어를 통합하여 임의의 조성의 화학 약품 용액들을 동적으로 혼합하는 것뿐만 아니라 임의의 시간 기간 동안 임의의 순서로 반응기들을 통해 화학 약품들을 시퀀싱하는 능력을 제공한다. MCSIR (400) 은 컴퓨터화된 하드웨어 제어기 (402) 를 이용하여 제어되고, 일 실시형태의 동일한 제어기는 풀-웨이퍼 반응기 및 사이트-분리된 반응기 양자 모두에서 처리를 달성한다. 웨이퍼들은 공장 인터페이스 (404) 를 이용하여 MCSIR (400) 을 통해 시퀀싱된다. 풀-웨이퍼 반응기 및 사이트-분리된 반응기는 모든 제어 양태들에서 유사하다. MCSIR (400) 의 각 반응기 또는 채널은 본 명세서에서 서술된 바와 같이 복잡한 습식/증기 프로세스 시퀀스들의 실시를 허용하도록 구성된다.
일반적으로, 풀-웨이퍼 처리 모듈 (405) 은 풀-웨이퍼 반응기에 결합된 프로세스 매니폴드를 포함한다. 프로세스 매니폴드는 화학 약품 (401) 에 연결되고 화학 약품 (401) 들을 풀-웨이퍼 반응기로 공급 또는 전달하도록 구성된다. 풀-웨이퍼 반응기는 전달된 화학 약품들을 이용하여 전체 웨이퍼 또는 기판 표면 (예를 들어, 8-인치 웨이퍼, 12-인치 웨이퍼, 등) 에 걸쳐 균일한 처리를 달성하도록 구성된다.
반면에, SIPM (409) 은 다수의 채널들 또는 프로세스 경로들을 이용하여 웨이퍼에 걸친 다수의 이산 영역들 (예를 들어, 28 개의 영역들) 의 독립적 처리를 가능케 하는 사이트-분리된 프로세서이다. SIPM (409) 의 본 실시예는 본 예의 명확함을 위해 2 개의 프로세스 경로들 또는 채널들 중 어느 하나에 의해 공급 중인 단일 사이트-분리된 반응기를 나타내나, MCSIR 은 임의의 수의 사이트-분리된 반응기 및 각 반응기에 접속된 임의의 수의 프로세스 경로들을 포함할 수 있다.
SIPM (409) 은 제 1 매니폴드 (예를 들어, 혼합 용기 (MV: mixing vessel) 1 매니폴드) 들의 세트 또는 어셈블리를 일반적으로 포함한 전달 시스템을 이용하여 화학 약품 (401) 을 공급하거나 분배한다. 각 제 1 매니폴드의 출력은 혼합 용기 (예를 들어, 혼합 용기 1 등) 에 결합된다. 혼합 용기 매니폴드들은 혼합 용기들의 각각에 대해 임의의 비율로 벌크형 화학 약품들의 혼합을 허용하고, 이후 혼합 용기들은 혼합된 화학 약품 용액들에 대한 임시 저장소로서의 역할을 한다.
각 혼합 용기의 출력은 제 2 매니폴드들 (예를 들어, 프로세스 채널 1 사이트 매니폴드, 프로세스 채널 2 사이트 매니폴드) 의 하나 이상의 세트에 공급한다. 제 2 매니폴드들의 각 세트의 출력은 사이트-분리된 반응기에 공급한다. 제 2 매니폴드들의 세트는 일반적으로 흐름 셀들의 세트에서 2 개의 프로세스 경로들 (예를 들어, 채널 1, 채널 2) 중 어느 하나를 통해 혼합 용기 용액들 및/또는 벌크형 화학 약품들의 시퀀싱을 허용한다. 흐름 셀들은 사이트-분리된 반응기의 상면을 포함하고, 반응기 슬리브 (sleeve) 들은 반응기의 측벽들을 포함한다. 처리된 기판은 반응기의 저부를 포함한다. 각 사이트-분리된 반응기는 본 명세서에서 서술되는 바와 같은 기판의 전용 영역의 개별 처리를 달성한다.
MCSIR (400) 의 실시예는 풀-웨이퍼 반응기에 대한 프로세스 경로 내에 혼합 용기를 포함하지 않는다. 그러나, SIPM 과 유사한 방식으로 풀-웨이퍼 처리 모듈을 구성하기 위하여 풀-웨이퍼 반응기 프로세스 경로 내에 혼합 용기를 포함하는 것이 가능하고 때론 바람직하다.
도 5 는 일 실시형태 하에서, MCSIR 의 SIPM (500) 이다. SIPM (500) 은 복수의 병렬 반응기에서 반응을 동시에 제어함으로써 기판의 상이한 영역들의 동시 처리를 관리하거나 제어한다. 각각의 반응기들은 기판 (예를 들어, 웨이퍼) 의 특정 영역에 근접하게 위치된다. 반응기 제어는 예를 들어, 시약 흐름, 시약 혼합, 시약 전달, 시약 온도 및/또는 반응기 온도, 및/또는 시약 pH 를 제어하는 것을 포함한다.
SIPM (500) 은 제 1 혼합 어셈블리 (514) 에 결합된 제 1 분배 어셈블리 (512) 를 포함한다. 제 1 분배 어셈블리 (512) 는 N 개의 혼합 용기 매니폴드들 (5121 내지 512N) 을 포함하며, 여기서 혼합 용기 매니폴드들의 수 N 은 임의의 수일 수 있다. 일 실시형태의 제 1 분배 어셈블리 (512) 는 28 개의 혼합 용기 매니폴드들을 포함하나, SPS 는 이 수의 혼합 용기 매니폴드들에 제한되지 않고 임의의 수의 혼합 용기 매니폴드들을 포함할 수 있다. 혼합 용기 매니폴드들의 각각의 입력은 하나 이상의 화학 약품 (501) 에 결합된다. 일례로서, 일 실시형태의 혼합 용기 매니폴드는 8 개의 입력을 포함하며, 각각의 입력들은 상이한 하나의 화학 약품 (501) 에 접속된다. 혼합 용기 매니폴드는 그러나 8 개의 입력에 제한되지 않고, 각 입력은 임의의 다른 매니폴드 입력과 상이한 구성물에 대한 접속에 제한되지 않는다. 추가적으로, 분배 어셈블리 (512) 의 모든 혼합 용기 매니폴드들은 동일한 구성 상태에 제한되지 않는다. 또한, 다른 컴포넌트들 (예를 들어, 밸브, 조절기, 혼합기, 펌프 등) 은 구성물과 혼합 용기 매니폴드 간에 인라인으로 접속될 수 있다.
제 1 혼합 어셈블리 (514) 는 N 개의 혼합 용기들 (5141 내지 514N) 을 포함하며, 여기서 혼합 용기들의 수 N 은 임의의 수일 수 있다. 일 실시형태의 제 1 혼합 어셈블리 (514) 는 28 개의 혼합 용기들을 포함하나, SPS 는 이 수의 혼합 용기들에 제한되지 않고 임의의 수의 혼합 용기들을 포함할 수 있다. 혼합 용기들의 각각의 입력은 제 1 분배 어셈블리 (512) 의 혼합 용기 매니폴드의 출력에 결합된다. 일례로서, 일 실시형태의 혼합 용기는 제 1 분배 어셈블리 (512) 의 혼합 용기 매니폴드의 출력에 접속되는 1 개의 입력을 포함한다. 더 구체적인 예로서, 제 1 혼합 용기 (5141) 의 입력은 제 1 혼합 용기 매니폴드 (5121) 의 출력에 접속된다. 혼합 용기는 그러나 1 개의 입력에 제한되지 않고, 각 입력은 제 1 분배 어셈블리 (512) 의 하나의 혼합 용기 매니폴드에 대한 접속에 제한되지 않는다.
SIPM (500) 은 제 2 혼합 어셈블리 (524) 에 결합된 제 2 분배 어셈블리 (522) 를 더 포함한다. 제 2 분배 어셈블리 (522) 는 N 개의 혼합 용기 매니폴드들 (5221 내지 522N) 을 포함하며, 여기서 혼합 용기 매니폴드들의 수 N 은 임의의 수일 수 있다. 일 실시형태의 제 2 분배 어셈블리 (522) 는 28 개의 혼합 용기 매니폴드들을 포함하나, SPS 는 이 수의 혼합 용기 매니폴드들에 제한되지 않는다. 각각의 혼합 용기 매니폴드의 입력은 하나 이상의 화학 약품 (501) 에 결합된다. 일례로서, 그리고 상술한 바와 같이 일 실시형태의 혼합 용기 매니폴드는 8 개의 입력을 포함하며, 입력들의 각각은 하나의 상이한 화학 약품 (501) 에 접속된다. 혼합 용기 매니폴드는 그러나 8 개의 입력에 제한되지 않고, 각 입력은 임의의 다른 매니폴드의 입력과 상이한 구성물에 대한 접속에 제한되지 않는다. 추가적으로, 다른 컴포넌트들 (예를 들어, 밸브, 조절기, 혼합기, 펌프 등) 은 구성물과 혼합 용기 매니폴드 간에 인라인으로 접속될 수 있다.
제 2 혼합 어셈블리 (524) 는 N 개의 혼합 용기들 (5241 내지 524N) 을 포함하며, 여기서 혼합 용기들의 수 N 은 임의의 수일 수 있다. 일 실시형태의 제 2 혼합 어셈블리 (524) 는 28 개의 혼합 용기들을 포함하나, SPS 는 이 수의 혼합 용기들에 제한되지 않는다. 혼합 용기들의 각각의 입력은 제 2 분배 어셈블리 (522) 의 혼합 용기 매니폴드의 출력에 결합된다. 일례로서, 일 실시형태의 혼합 용기는 제 2 분배 어셈블리 (522) 의 혼합 용기 매니폴드의 출력에 접속되는 1 개의 입력을 포함한다. 더 구체적인 예로서, 제 1 혼합 용기 (5241) 의 입력은 제 1 혼합 용기 매니폴드 (5221) 의 출력에 접속된다. 혼합 용기는 그러나 1 개의 입력에 제한되지 않고, 각 입력은 제 2 분배 어셈블리 (522) 의 하나의 혼합 용기 매니폴드에 대한 접속에 제한되지 않는다.
SPS 가 모듈식이어서, 다른 실시형태의 SPS 는 상이한 수의 분배 어셈블리들 및/또는 혼합 어셈블리들을 포함할 수 있다. 예를 들어, 다른 실시형태의 SPS 에서는 2 개의 추가 분배 어셈블리들을 포함할 수 있으며, 각 추가 분배 어셈블리가 추가 혼합 어셈블리에 결합된다. 또다른 예로서, 다른 실시형태의 SPS 는 상술한 제 1 분배 어셈블리 (512) 및 제 1 혼합 어셈블리 (514) 만을 포함하고, 제 2 분배 어셈블리 (522) 및 제 2 혼합 어셈블리 (524) 를 포함하지 않는다. 또한, 다른 실시형태의 SPS 는 상술한 것보다 적은 수 또는 큰 수의 혼합 용기 매니폴드들을 포함할 수 있다. 추가적으로, 다른 실시형태는 상이한 구성의 혼합 용기 매니폴드들 및/또는 혼합 용기들을 포함한다; 예를 들어, 2 개의 혼합 용기 매니폴드들은 단일 혼합 용기에 결합될 수 있다.
SIPM (500) 은 제 3 분배 어셈블리 (532) 를 포함한다. 제 3 분배 어셈블리 (532) 는 N 개의 사이트 매니폴드들 (5321 내지 532N) 을 포함하며, 여기서 사이트 매니폴드들의 수 N 은 임의의 수일 수 있다. 일 실시형태의 제 3 분배 어셈블리 (532) 는 28 개의 사이트 매니폴드들을 포함하나, SPS 는 이 수의 사이트 매니폴드들에 제한되지 않는다. 일 실시형태의 각 사이트 매니폴드는 8 개의 입력을 포함하나, 이에 제한되지 않는다. 각 사이트 매니폴드의 제 1 입력은 제 1 혼합 어셈블리 (514) 의 혼합 용기의 출력에 접속되고, 각 사이트 매니폴드의 제 2 입력은 제 2 혼합 어셈블리 (524) 의 혼합 용기의 출력에 접속된다. 그러므로, 보다 구체적인 예로서 제 3 분배 어셈블리 (532) 의 제 1 매니폴드 (5321) 를 이용하여, 제 1 사이트 매니폴드 (5321) 의 제 1 입력은 제 1 혼합 어셈블리 (514) 의 제 1 혼합 용기 (5141) 의 출력에 접속되고, 제 1 사이트 매니폴드 (5321) 의 제 2 입력은 제 2 혼합 어셈블리 (524) 의 제 1 혼합 용기 (5241) 의 출력에 접속된다. 제 3 분배 어셈블리 (532) 의 각 사이트 매니폴드의 하나 이상의 남은 입력은 SIPM (500) 의 인스턴트 처리 동작에 적절하게 하나 이상의 화학 약품 (501) 들에 접속된다. 각 사이트 매니폴드의 남은 입력들은 그러나 다른 실시형태에서 다른 구성물 소스들에 결합될 수 있다. 다른 컴포넌트들 (예를 들어, 밸브, 조절기, 혼합기, 펌프 등) 은 구성물과 제 3 분배 어셈블리 (532) 간에 인라인으로 접속될 수 있다.
제 3 분배 어셈블리 (532) 의 출력은 흐름 셀 어셈블리 (542) 에 결합된다. 상술한 바와 같이 기판에 근접한 흐름 셀 어셈블리 (542) 는 N 개의 흐름 셀들 (5421 내지 542N) 을 포함하며, 여기서 흐름 셀들의 수 N 은 임의의 수일 수 있다. 일례로서, 일 실시형태의 흐름 셀 어셈블리 (542) 는 28 개의 흐름 셀들을 포함하나, SPS 는 이 수의 흐름 셀들에 제한되지 않는다. 일 실시형태의 각 흐름 셀은 1 개의 입력을 포함하나, 이에 제한되지 않는다. 각 흐름 셀의 입력은 제 3 분배 어셈블리 (532) 의 사이트 매니폴드의 출력에 결합된다. 예를 들어, 일 실시형태의 흐름 셀은 제 3 분배 어셈블리 (532) 의 사이트 매니폴드의 출력에 접속되는 1 개의 입력을 포함한다. 보다 구체적인 예로서, 제 1 흐름 셀 (5421) 의 입력은 제 3 분배 어셈블리 (532) 의 제 1 사이트 매니폴드 (5321) 의 출력에 접속된다. 흐름 셀들의 내부는 유체 흐름을 맞추도록 구성되거나 재구성될 수 있다; 예를 들어, 내부 공동은 임의의 형상일 수 있고/있거나 내부의 표면 프로파일은 유체들의 속도를 제어하도록 변화될 수 있다. 다른 컴포넌트들 (예를 들어, 밸브, 조절기, 혼합기, 펌프 등) 은 제 3 분배 어셈블리 (532) 와 흐름 셀 어셈블리 (542) 간에 인라인으로 접속될 수 있다.
흐름 셀 어셈블리 (542) 는 그러므로 기판의 근접 영역에 사이트-분리된 처리를 달성하도록 구성된 사이트-분리된 반응기를 형성하는 일련의 병렬 셀들을 포함한다. 사이트-분리된 처리는 상술한 바와 같이 흐름 셀 어셈블리 (542) 의 각 셀 또는 반응기로 전달된 구성물 또는 조성물들을 포함한 처리를 포함한다.
상술한 SIPM (500) 의 실시형태에서는 제 1 분배 어셈블리 (512) 의 혼합 용기 매니폴드들, 제 2 분배 어셈블리 (522) 의 혼합 용기 매니폴드들, 제 3 분배 어셈블리 (532) 의 사이트 매니폴드들, 제 1 혼합 어셈블리 (514) 및 제 2 혼합 어셈블리 (524) 의 혼합 용기들, 및 흐름 셀 어셈블리 (542) 의 흐름 셀들의 각각이 균등하게 N 개를 갖는다. 상술한 바와 같이, 그러나, 다른 실시형태에서는 처리 동작에 적합하게 제 1 분배 어셈블리 (512) 의 혼합 용기 매니폴드들, 제 2 분배 어셈블리 (522) 의 혼합 용기 매니폴드들, 제 3 분배 어셈블리 (532) 의 사이트 매니폴드들, 제 1 혼합 어셈블리 (514) 및 제 2 혼합 어셈블리 (524) 의 혼합 용기들, 및 흐름 셀 어셈블리 (542) 의 흐름 셀들의 하나 이상이 상이한 수를 가질 수 있다.
제어기 (502) 는 상술한 바와 같이 SIPM (500) 의 다양한 컴포넌트들에 결합되고 처리 동작을 제어한다. SIPM (500) 은 일반적으로 다수의 구성물들 (예를 들어, 화학 약품들, 조성물 등) 의 글로벌 혼합을 포함하여 제 1 혼합 어셈블리 (514) 및 제 2 혼합 어셈블리 (524) 의 각각에서 다양한 조성물들의 조합을 형성하는 처리 동작들을 제공한다. 본 혼합 레벨에서의 조성물들은 추가 구성물이 그 조성물과 함께 시퀀싱될 수 있는 점에서 제 3 분배 어셈블리 (532) 에 전달되며; 이렇게 형성된 조성물들은 이후 흐름 셀을 통해 기판 상의 N 개의 병렬 사이트들에 전달된다. 액체 시약, 기체 시약, 및/또는 플라즈마 시약을 지원하는 SIPM (500) 는 예를 들어, 화학 약품 조성, 화학 약품 시퀀싱, 온도, pH, 인-라인 혼합, 및 국소 환경 제어를 제어하는 것을 포함한 제어된 조건 하에서 이렇게 형성된 조성물들을 제공한다. SIPM (500) 은 그러므로 평행하게 다양한 기판 사이트 또는 영역들에 시약들의 직렬한 흐름을 달성하는 것과 같은 방식으로 (다양한 상태를 갖는) 다양한 시약들의 흐름 제어를 가능하게 한다. SIPM (500) 은 이로써 동작이 흐름 제어에 대한 최소 세트를 이용하여 다수의 흐름, 유체 역학, 및 다수의 채널을 관리하면서 기판의 상이한 영역들에 병렬 처리를 달성하게 한다.
상술한 SIPM (500) 은 모듈식이어서 상술한 컴포너트들 중 어느 하나에 대해 임의의 수를 가질 수 있다. 컴포넌트들 (예를 들어, 분배 어셈블리, 혼합 용기 매니폴드, 사이트 매니폴드, 혼합 용기, 흐름 셀 어셈블리, 흐름 셀) 은 처리 동작을 지원하는데 필요에 따라 SIPM (500) 으로 추가되거나 제거될 수 있다. 게다가, 컴포넌트들의 구성은 임의의 수의 구성들을 포함하고 상술한 구성들에 제한되지 않는다. 예를 들어, 흐름 셀 형태 인자를 변경하는 것 (예를 들어, 원형을 대신해 정사각형) 은 흐름 셀의 상부 플레이트만을 변경하는 것에 관계가 있다. 이로써, SPS 는 상이한 유형의 처리를 취급하는 구성성 (configurability) 및 이용가능성의 관점에서 융통성이 있다.
도 6 은 일 실시형태 하에서, SIPM (500) 의 컴포넌트의 서브세트 (총체적으로 SIPM (600) 으로서 불림) 간의 커플링을 나타낸다. SIPM (600) 은 8 개의 입력 A 내지 H 를 포함하는 제 1 혼합 용기 매니폴드 (6121) 를 포함한다. 입력들의 각각은 처리 동작 동안 구성물들을 선택적으로 수용하기 위하여 구성물에 결합된다. 구성물과 제 1 혼합 용기 매니폴드 (6121) 간의 접속의 일례로서, 매니폴드 (6121) 의 입력 A 는 펌프 (604) 를 통해 화학 약품 A 에 접속된다. 펌프 (604) 는 용기를 채우도록 사용된 정량 펌프 (metering pump) 이나 이에 제한되지 않는다; 다른 실시형태는 펌프를 포함하지 않을 수도 있고, 다수의 인라인 펌프들을 포함할 수도 있고/있으며, 상이한 유형의 펌프를 포함할 수도 있다. 일 실시형태의 펌프 (604) 는 각 재료의 체적 비율의 정확한 제어를 고려하는 정량 펌프를 포함하나, 이에 제한되지 않는다. 다른 컴포넌트들 (예를 들어, 밸브, 조절기, 혼합기, 펌프 등) 은 구성물 (예를 들어, 화학 약품 A) 을 유지시키는 컨테이너와 펌프 (604) 간에 및/또는 펌프 (604) 와 매니폴드의 입력 A 간에 인라인으로 접속될 수 있다. 다른 MCSIR 컴포넌트들 및/또는 구성물들이나, 화학 약품들 (미도시) 은 유사한 방식으로 제 1 혼합 용기 매니폴드 (6121) 의 입력 A 내지 입력 H 에 접속될 수 있다. 제 1 혼합 용기 매니폴드 (6121) 는 상술한 바와 같이 분배 어셈블리의 컴포넌트일 수 있으나, 이에 제한되지 않는다.
SIPM (600) 은 제 1 혼합 용기 매니폴드 (6121) 의 출력에 접속된 입력을 가지는 혼합 용기 (6141) 를 포함한다. 혼합 용기 (6141) 는 그러므로 제 1 혼합 용기 매니폴드 (6121) 로부터 흐르는 구성물들을 수용한다. 일 실시형태의 혼합 용기 (6141) 는 용기 (6141) 내에 조성물이 생성되는 예를 들어, 압력, 온도 및 pH 를 포함한 파라마터의 제어를 고려한다. 혼합 용기 (6141) 는 수용된 구성물들을 교반하거나 흔드는 디바이스를 포함할 수 있다. 혼합 용기 (6141) 는 혼합 용기 (6141) 로부터의 조성물을 흘리도록 역할하는 흐름 메커니즘 (606) 을 포함하거나 결합되거나 접속된다. 일례로서, 흐름 메커니즘 (606) 은 프로세스 (608) 로 또는 프로세스로부터 멀어져 폐기물 (610) 로 향하게 하는 접속들을 포함하고; 다른 라우팅들 (미도시) 도 가능하다. 혼합 용기 (6141) 는 상술한 바와 같이 혼합 어셈블리의 컴포넌트일 수 있으나, 이에 제한되지 않는다.
SIPM (600) 은 8 개의 입력 1-8 을 포함하는 사이트 매니폴드 (6321) 를 포함한다. 하나의 입력 1 은 혼합 용기 (6141) 의 조성물 출력 MIX1 을 수용하도록 접속된다. 사이트 매니폴드 (6321) 의 다른 입력들은 다른 구성물들 및/또는 조성물들을 수용하도록 접속될 수 있다. 예를 들어, 상술한 바와 같이, 사이트 매니폴드 (6321) 의 또다른 입력 2 는 또다른 매니폴드 및/또는 혼합 용기의 조성물 출력 MIX2 를 수용하도록 접속될 수 있다. 게다가, 사이트 매니폴드 (6321) 의 다른 또는 남은 입력 3 내지 입력 8 은 하나 이상의 다른 구성물들 (미도시) 에 결합될 수 있다.
사이트 매니폴드 (6321) 의 출력은 기판 (650) 의 일 영역에 근접하는 흐름 셀 (6421) 에 접속된다. SIPM (600) 은 사이트 매니폴드 (6321) 와 흐름 셀 (6421) 간에 인라인 혼합을 제공하는 부가적 인라인 혼합기 (660) 를 포함한다. 흐름 셀 (6421) 은 매니폴드 (6321) 로부터의 조성물을 수용하고, 처리 동작 동안 기판 영역을 처리하기 위하여 그 조성물을 사용한다. 흐름 셀 (6421) 은 유출물 (폐기물) 을 흐름 셀 (6421) 로부터 멀리 향하게 하는 폐기물 라인 (670) 에 접속된다. 폐기물 라인 (670) 은 흐름 셀 (6421) 로부터 프로세스 유출물을 제거하기 위한 진공 매니폴드 또는 밸브 (미도시) 를 포함할 수 있다. 흐름 셀 (6421) 은 상술한 바와 같이 흐름 셀 어셈블리의 컴포넌트일 수 있으나 이에 제한되지 않는다. 제어기 (602) 는 SIPM (600) 의 컴포넌트들에 결합되고 상술한 바와 같이 처리 동작을 제어한다.
SIPM (600) 의 일 실시형태는 셀을 통해 흐름을 특성화하는 것보다 폐기물 라인을 통해 그 흐름을 특성화하기 위하여 폐기물 라인 내에 유량계 (FM: flow meter) 를 포함한다. 이것은 다수의 유량 제어기들에 대한 필요성을 제거하고 대신에 단일 솔벤트 시스템에 대한 하나의 유량 제어기만을 요구하며; 다수의 유량 제어기가 다수의 솔벤트 시스템과 함께 사용될 것이다 (예를 들어, 산성 솔벤트, 염기성 솔벤트 및 유기 솔벤트를 갖는 시스템에서 3 개의 유량 제어기가 사용된다).
분배 어셈블리, 혼합 용기 매니폴드, 혼합 어셈블리, 흐름 셀 어셈블리, 및 흐름 셀을 포함한 SIPM 의 컴포넌트들은 상술한 바와 같이 수와 구성에서 변화한다. 이들 컴포넌트들은 예를 들어, 밸브, 튜빙 (tubing) 이나 도관, 분배 펌프, 흐름 조절기, 압력 조절기, 및 제어기를 포함하는 다양한 다른 컴포넌트들 및/또는 재료들을 이용하여 결합되거나 접속된다. 이들 다른 컴포넌트들 및/또는 재료들은 구성 및 처리 동작에 적절하게 기술분야에 공지된 컴포넌트들 및/또는 재료들을 포함한다.
상술한 SIPM 의 구성은 벌크형 화학 약품들이 혼합 용기 매니폴더를 통해 혼합 용기로 및/또는 사이트 매니폴드를 통해 사이트-분리된 반응기로 향하게 한다. 혼합 용기로 향하게 되는 경우, 제어 시스템은 임의의 조성물에 대한 용액들의 혼합을 가능하게 한다. 용액의 조성물은 혼합 용기들의 각각에 대해 독립적으로 변화될 수 있다. 혼합 용기는 이렇게 형성된 용액의 교반, 가열, 및 pH 제어를 허용하는 방식으로 실시된다. 또한, 이렇게 형성된 용액의 pH 및 온도는 흐름 셀마다 모니터링될 수 있다. 게다가, 사이트 매니폴드를 통한 각 용액의 유량은 독립적으로 변화하기 쉽다.
상술한 바와 같이, 각 매니폴드 (예를 들어, 혼합 용기 매니폴드, 사이트 매니폴드) 는 많은 수의 입력 또는 밸브 (예를 들어, X 개의 입력, 여기서 X 는 임의의 수 1, 2, ...) 를 포함하며, 각 밸브가 상이한 화학 약품 소스에 결합되거나 접속된다. 화학 약품 소스는 예를 들어, 액상, 기상 또는 진공일 수도 있다. 매니폴드는 매니폴드의 입력들에서 수용된 화학 약품이 하나의 공통 경로를 통해 매니폴드를 빠져나가도록 구성된다. 따라서, 그 매니폴드는 X:1 매니폴드라고 불린다. 화학 약품은 매니폴드를 통해 개별적으로 또는 조합적으로 시퀀싱될 수 있다. 조합적으로 시퀀싱되는 경우, 인-라인 혼합기는 균일한 화학 용액을 확보하도록 사용될 수 있다. 체크 밸브는 또한 백스트림, 결과적으로, 화학 약품의 원하지 않는 혼합이 발생하지 않음을 확보하도록 X 개의 화학 약품들의 각각의 입구에서 통합될 수 있다.
흐름 셀은 SIPM 의 처리의 흐름 역학을 제어한다. 시퀀스 동안 화학 약품 변경 사이의 데드 볼륨 (dead volume) 을 줄이기 위해서, 일 실시형태의 흐름 셀은 독립적으로 프로세스 및 셀 바디와 직접 병합된 폐기물 경로를 포함한다. 도 7 은 일 실시형태 하에서, 독립적 프로세스 P1/P2 경로 및 폐기물 W 경로를 포함한 흐름 셀 (700) 의 블록도이다. 각 흐름 셀 경로는 밸브 V1 내지 밸브 V4 를 통합하여 프로세스 흐름과 폐기물 흐름을 제어한다. 이들 밸브 V1 내지 밸브 V4 의 사용은 예를 들어, 제 2 경로 P2 가 기판의 처리를 위해 화학 약품을 전달하도록 사용 중에 있는 동안 흐름 셀의 제 1 프로세스 경로 P1 이 정화되게 한다. 이 프로세스 경로 제어는 우수한 타이밍 정확도 (timing accuracy) 를 제공하고 흐름 셀로의 화학 약품의 매우 정밀한 시퀀싱을 가능하게 한다.
밸브 사용의 일례로서, 흐름 셀의 현재 프로세스 단계의 밸브 구성은 밸브 V1 이 닫히고 밸브 V2 가 개방되어 제 1 화학 약품이 제 1 경로에서 폐기물 출력으로 제거되며, 밸브 V4 가 개방되고 밸브 V3 이 닫혀 제 2 화학 약품이 프로세스 출력을 통해 흐름 셀로 제공된다. 현재의 프로세스 단계의 완료 및 다음의 프로세스 단계의 개시 시, 밸브들은 제 1 경로 P1 의 화학 약품이 프로세스 출력을 통해 기판에 즉시 도입되나, 제 2 경로의 화학 약품이 제거되고 제 2 경로가 후속적으로 프로세스 시퀀스에서의 다음 화학 약품을 이용하여 준비되도록 전환되거나 재구성된다.
일 실시형태의 흐름 셀은 반응기로부터의 프로세스 화학 약품을 수집 및 배출하는 진공 매니폴드를 포함한다. 일 실시형태의 진공 매니폴드는 매니폴드 내에 일정한 압력을 유지시키도록 대기로 벤팅 (venting) 되어, 유속의 우수한 예측가능성을 제공하나, 이에 제한되지 않고 대신에 시스템 구성에 적합하게 진공 소스 또는 압력 소스에 결합될 수 있다.
SIPM 의 흐름 셀은 흐름 셀들이 단일체로서 집합적으로 상승 및 하강되게 하는 고정물 (fixture) 에 모두 접속된다. 기판에 대한 흐름 셀의 수직 위치의 제어는 반응기 체적이 동적으로 변경되게 한다. 본 기능의 사용에 대한 일례는 정적 버켓-모드 (static bucket-mode) 처리를 용이하게 하기 위해 흐름 셀을 상승시키는 것, 그리고 방사상 흐름 패턴을 용이하게 하기 위해 흐름 셀을 하강시키는 것이다.
도 4 를 참조하여 상술한 바와 같이, 흐름 셀은 사이트-분리된 반응기의 상부 표면을 포함하나, 반응기 슬리브는 반응기의 측벽을 포함하고 처리된 기판은 반응기의 저부를 포함한다. 반응기 슬리브는 서비스편의를 제공하도록 사용된 불활성 슬리브이다. 예를 들어, 반응기 슬리브는 오염된 경우나 화학적 양립성을 위해 필요한 슬리브 재료를 포함한 슬리브를 제공하기 위하여 쉽게 교체될 수 있다. 슬리브는 하나 이상의 다양한 재료를 포함할 수 있는 반응기 블록에 의해 고정된다. 반응기 블록은 또한 실온과 상이한 처리 온도를 제공하도록 그리고 상이한 프로세스들에 적절하게 제어기의 제어 하에서 자동적으로 가열되고/가열되거나 냉각될 수 있다.
MCSIR 은 또한 처리를 진행 중인 기판을 안전하게 하는 척 또는 스테이지를 포함한다. 척은 진공, 정전기, 및/또는 기계적 클랭핑을 포함하나 이에 제한되지 않는, 기판을 안전하게 하는 하나 이상의 다양한 메커니즘을 포함할 수 있다. 반응기 블록과 유사하게, 척은 또한 제어기의 제어 하에서 자동적으로 가열되고/가열되거나 냉각될 수 있다. 척은 반응기 어셈블리로부터 기판을 도입하고 회수하는 로봇의 효과적인 사용을 가능하게 하도록 기계적으로 구동될 수 있다.
일 실시형태의 MCSIR 의 모든 컴포넌트들을 통과하는 구성물들에 대한 흐름 제어는 MCSIR 의 접속들에 걸친 압력을 변경함으로써 달성된다. 각각 적어도 하나의 튜빙 접속 및/또는 하나 이상의 정밀한 오리피스나 밸브를 포함할 수 있는 접속은 구성물 및 의도된 프로세스 시퀀스의 구성 파라미터에 대한 MCSIR 에 걸쳐 매칭된다. MCSIR 의 접속은 임의의 실제 사용 전에 교정되고, 교정 커브는 각 접속마다 데이터베이스에 저장된다. 제어기는 처리 동작 동안 구성물 흐름을 제어 시에 교정의 정보를 이용한다.
MCSIR 의 기판 처리는 상술한 바와 같이 기판의 국소화된 영역에 대해 조합형 처리 및 종래의 풀-웨이퍼 처리의 병렬 통합을 포함한다. MCSIR 의 실시형태는 상술한 바와 같이 제어기 (예를 들어, MCSIR (400) 의 제어기 (402) (도 4), MCSIR (500) 의 제어기 (502) (도 5), MCSIR (600) 의 제어기 (602) (도 6)) 의 제어 하에서 처리 동작을 지원한다. 제어기는 호스트 MCSIR 의 다양한 컴포넌트들의 동작을 제어하는 여러가지의 데이터베이스 또는 표의 정보를 이용하는 하나 이상의 프로그램 또는 알고리즘들을 실행하는 프로세서를 포함하고; 그 데이터베이스 또는 표 (미도시) 는 제어기 프로세서에 결합되고 제어기의 컴포넌트이고/컴포넌트이거나 MCSIR 및/또는 호스트 처리 시스템의 다른 컴포넌트들 사이에 분포될 수 있다.
일 실시형태의 제어기는 프로세스 시퀀스에 대한 전체 컴퓨터 제어/자동화를 제공한다. 반응기들의 각각은 대부분 프로세스 파라미터에 대해서 독립적으로 제어될 수 있으나, 온도와 반응기 체적과 같은 일부 프로세스 파라미터는 모든 사이트들에 대해 광역적이다. 상이한 시퀀스가 상이한 반응기에 사용되고 광역적 파라미터가 변경되는 경우, 제어기는 프로세스 시퀀스가 모든 반응기들에 걸쳐 올바르게 실행하도록 프로세스 단계의 동기화를 가능하게 한다. 프로세스 동기화에 덧붙여, 제어기는 프로세스 단계가 온도와 같은 파라미터의 특정 목표값을 충족한 시스템을 개시하게 하는 프로세스 시퀀스 트리거를 가능하게 한다. 이들 2 개의 성능은 프로세스 시퀀스가 실행될 수 있는 정확성 및 정밀도를 더 개선한다.
기판 처리 동작을 제어하는 일반적 예로서, 도 8 은 기판의 혼합-모드 처리의 흐름도이다. 다수의 생 화학 약품들로부터 용액들이 생성된다 (802). 조성물 및 파라미터는 조합 방식으로 변화되고 다수의 용액들의 상이한 것들 사이에 독립적으로 제어된다. 화학 약품 및 용액들은 기판 상에 분배되고 (804), 분배는 기판의 전체 표면 상에 화학 약품의 분배 및 다수의 용액의 분배를 통합하는 것을 포함한다. 다수의 용액의 분배는 하나 이상의 분배된 용액 및 기판의 영역들의 하나 이상의 세트들 사이의 흐름을 독립적으로 변화시키는 것을 포함한다.
MCSIR 제어기를 이용하여 처리 동작을 제어하는 보다 구체적인 예는 다음과 같다. 동작은 일반적으로 오퍼레이터가 시퀀스를 선택하고/선택하거나 설정하고 그 시퀀스에 적절한 라이브러리를 선택하고/선택하거나 설정할 때 시작된다. 기판 (예를 들어, 웨이퍼) 은 로딩되고, 라이브러리는 사전-스테이징 (pre-stage) 된다. 선택된 처리 시퀀스는 이후 실행된다. 선택된 시퀀스의 실행에 이어서, 웨이퍼는 언로딩되고 시스템은 플러싱 (flushing) 된다.
시퀀스의 설정은 구성물이나 화학 약품 시퀀싱 및 연관된 파라미터들을 정의하는 것을 포함한다. 화학 약품 시퀀싱의 정의는 예를 들어, 하나 이상의 화학 약품 유형, 흐름 시간, 유량, 전하, 적심 (soak), 플러싱 시간, 및 프로세스 온도를 정의하는 것을 포함한다. 일 실시형태의 MCSIR 의 각 흐름 셀을 통한 유량이 거의 동일한 값이면서, 직렬 방식으로 그 유량이 변화될 수 있다. 흐름 시간, 적심 시간, 플러싱 시간은 MCSIR 에 걸쳐 변화될 수 있다. 시퀀싱은 상술한 바와 같이 예를 들어, 하나 이상의 인라인 혼합기들 또는 본 명세서에 기술된 다른 혼합 기술이나 컴포넌트들을 통한 화학 약품으로 혼합을 포함할 수 있다.
일 실시형태의 처리 시퀀스를 위한 라이브러리를 설정하는 것은 하나 이상의 혼합물 내의 각 화학 약품의 농도, 각 용액의 온도 및 pH, 및 각 혼합물의 전체 체적을 설정하는 것을 포함한다 (시퀀스 정보로부터 디폴트 값은 제공된다). 라이브러리의 설정은 부가적이다.
웨이퍼 로딩은 웨이퍼 크기를 정의하는 것을 포함한다. 라이브러리의 사전-스테이징은 MCSIR 의 혼합 용기를 통해 시퀀싱하는 것과 구체적인 구성물의 각 컴포넌트의 적절한 양을 추가하거나 분배하는 것을 포함한다. 정밀 분배 펌프는 혼합 용기 내로의 개별 구성물을 계량하는데 사용된다. 일 실시형태에서는 +/- 1% 정확도로 10 밀리리터 (㎖) 의 전달이 약 5 초 걸린다. MCSIR 은 첫 번째 구성물과 마지막 구성물의 개시 사이에 미리 특정된 시간 간격 (예를 들어, 1 분) 으로 시차를 둔 개시로 혼합 용기로 개별 구성물을 분배한다. 라이브러리의 사전-스테이징은 스테이지 당 시간 주기 (예를 들어, 15 분) 동안 타깃팅되고; 순차적인 웨이퍼들의 경우, 라이브러리 사전-계획은 프로세스 시퀀스와 함께 병렬로 실행될 수 있다. MCSIR 사전-스테이징은 정밀한 온도 및 pH 제어의 조건 하에서 실시되고, 프로세스 시퀀스에 적절하게 구성물의 통합된 혼합을 포함한다.
선택된 프로세스 시퀀스의 실행은 시퀀스의 개시, 및 선택된 시퀀스의 모든 정의된 프로세스들의 완료를 포함한다. 프로세스 시퀀스 실행의 데이터는 작동자 또는 다른 사용자에 의해 특정된 바와 같이 기록된다. 기판 (예를 들어, 웨이퍼) 은 프로세스 시퀀스의 완료 시에 언로딩된다. 기판의 제거에 이어서, MCSIR 는 프로세스 유출물 및/또는 미사용 구성물들을 MCSIR 의 컴포넌트들로부터 제거하도록 플러싱된다. MCSIR 은 이후 가스 (예를 들어, 질소) 를 이용하여 가압되고 후속 프로세스 시퀀스가 개시되기 전까지 가압된 상태로 유지된다.
프로세스 시퀀싱의 일례로서, MCSIR 은 상술한 SIPM 을 이용하여 기판의 영역 내에 사이트-분리된 성막을 포함하는 처리를 지원한다. 어떤 재료의 성막은 2 개의 화학 약품이 혼합되고 상승된 온도에서 웨이퍼 상에 분배되는 것을 요구한다. 성막은 정적 또는 버킷 모드 반응기 내에서 달성되어야 한다. 또한, 화학 약품들은 습기에 노출될 수 없다. 마지막으로, 화학 약품들은 혼합 시 상승된 온도에서 불안정하지만, 혼합되지 않을 경우는 안정적이다. MCSIR 은 현존 프로세스로 본 성막의 효율적인 통합을 달성함에 있어서 중요한 본 시퀀스의 각 단계의 타이밍에 대한 정확한 제어를 가능하게 한다. 다음의 예에서 제공된 파라미터들은 단지 예로서 제공되고 이들 파라미터들 하에서만의 처리에 대해서 MCSIR 을 제한하도록 의도되지 않는다.
상술한 MCSIR 을 이용한 성막을 실행하기 위하여, 상기 도 4 내지 도 6 을 참조하면, 동작은 혼합 용기 매니폴드를 통해 혼합 용기로 화학 약품 A 를 분배함으로써 시작한다. 화학 약품 A 의 온도는 원하는 프로세스온도까지 상승되고, 화학 약품 A 가 프로세스 온도에 도달하는 때, 제어기는 혼합 용기로 화학 약품 B 의 분배를 트리거링한다. 또한, 2 개의 화학 약품의 기계적 혼합은 혼합 용기 내에서 개시된다.
화학 약품 A 및 화학 약품 B 의 용액의 온도는 이후 사전-특정된 프로세스 온도까지 상승된다. 가열 주기 동안, 기판은 로봇 암 위로 인터페이스에 의해 이동되고 기판 척은 프로세스 온도까지 예열된다. 기판은 용액이 고온 웨이퍼 척 위로 기판이 로딩되는 프로세스 온도에 도달할 때까지 로봇 암 위에 남아 있는다. 웨이퍼는 위치 내로 구동되고 약 10㎜ 인 높이의 반응기를 이용하여 기판 상에 용액이 분배된다.
성막의 끝맺음에 있어서, 기판 척은 능동적으로 냉각되고 반응기 높이는 반응 용액의 배출과 함께 0.25㎜ 까지 감소된다. 용액의 배출과 기판의 냉각 시, 제 2 용액은 흐름 모드에서 반응기로 도입되어 표면의 잔류 화학 약품을 린싱한다. 웨이퍼는 이후 반응기로부터 회수되고 로봇형 인터페이스를 통해 리턴된다.
일 실시형태의 MCSIR 은 셀 어셈블리의 반응기들과 타깃 기판의 하나 이상의 영역 간에 시일의 사용을 포함한다. 일 실시형태의 시일 시스템 및 방법은 2 유형의 시일을 포함할 수 있다. 제 1 유형의 시일은 하나 이상의 콘택 시일을 포함하나 제 2 유형의 시일은 시일링 유체를 이용하여 형성된 유체역학적 (hydrodynamic) 배리어의 사용을 포함한다. 이들 시일링 시스템의 각각은 2006 년 06 월 06 일자로 출원된 미국 특허 출원 번호 제 11/448,369 호에서 상세히 기술되어 있다.
도 9 는 일 실시형태 하에서, 기판을 안전하게 할 수 있는 스테이지 또는 척 (904) 에 메이팅된 흐름 셀 어셈블리 (906) 를 포함하는 MCSIR (900) 을 나타낸다. MCSIR (900) 은 플로우팅 반응기 슬리브 또는 벽 (910) 을 포함한다. 플로우팅 반응기 슬리브 (910) 은 셀 어셈블리 (906) 의 반응기 블록의 각 흐름 셀 (908) 내에 플로우팅하거나 동적으로 위치가능하도록 구성된다. 플로우팅 슬리브 (910) 를 포함하는 흐름 셀 (908) 의 조합은 이로써 기판의 국소화된 표면에 (플로우팅 슬리브 (910) 에 의해 형성된) 각 반응기 에지 표면 (912) 에 대한 개별적인 컴플라이언스 (compliance) 를 제공하는 흐름 셀 (908) 을 형성한다.
반응기 블록 (906) 의 흐름 셀 (908) 내부의 각 반응기 슬리브 (910) 에 대한 컴플라이언스는 일 실시형태에서 O-링인 외부 메커니즘에 의해 제어되고 제공될 수 있으나, 이에 제한되지 않는다. 흐름 셀 (908) 내의 각 반응기 슬리브 (910) 의 컴플라이언스는 또한 슬리브 벽으로 직접적으로 통합된 만곡형 (flexure-type) 메커니즘에 의해 제공될 수 있다. 반응기 슬리브 컴플라이언스 메커니즘들의 각각은 이하에서 상세히 기술된다. 각 흐름 셀 (908) 의 플로우팅 슬리브 (910) 의 사용은 오염되거나 그렇지 않으면 반응기 내의 계속적인 사용에 부적합하게 될 수 있는 개별 반응기 벽의 교체를 고려한다. 게다가, 플로우팅 슬리브 (910) 에 의해 제공된 반응기 블록 (906) 내의 각 흐름 셀 (908) 의 플로우팅은 각 반응기에 대해 시일이 달성되는 여전히 높은 가능성을 제공하면서 반응기 컴포넌트의 보다 큰 제조 허용오차를 허용하게 한다.
일 실시형태의 시스템은 진공을 사용하여 상술한 바와 같이 제 3 의 시일을 제공한다. 진공은 일련의 진공 채널 (900V) 들을 경유 또는 반응기 블록 (906) 을 통해 제공된다. 진공은 처리된 표면과 접촉하도록 구성되는, 제 3 시일에 의해 효과적인 시일링을 확보하도록 표면 시일 (900FS) 과 결합하여 작용한다. 본 표면 시일 (900FS) 은 그러므로 진공을 이용하거나 대안적으로 공압력 (pneumatic force) 을 이용하여 주변 시일을 확립한다.
일 실시형태의 분리된 반응기 챔버 (908) 의 외부의 플레넘 (plenum) 영역은 가압될 수 있다. 가압화는 예를 들어, 각각 분리된 반응기 챔버 (908) 의 밖으로 재료의 누설을 방지하도록 사용된다. 또한, 플레넘을 가압하고 이후 시간에 따라 압력 강하를 측정하는 것은 플로우팅 슬리브 (910) 의 시일링 성능의 모니터링을 고려한다. 또한, 플레넘의 가압화는 분리된 반응기 챔버 (908) 로부터의 잠재적인 유독 화합물의 방출 또는 무제어된 벤팅의 기회를 방지하거나 최소화한다.
상술한 접촉 시일링 시스템에 대한 대안으로서 본 명세서에서 유체역학적 시일링 시스템으로서 불리는 제 2 유형의 시일은 흐름 셀 어셈블리의 반응기들 사이의 유체역학적 배리어를 형성하여 시일링 유체를 이용함으로써 반응기 내용물을 포함한 시일링 유체를 이용한다. 유체역학적 배리어는 하나 이상의 종래의 접촉 시일을 대신한다.
도 10 은 일 실시형태 하에서, 유체역학적 시일링 시스템 (1000) 을 나타낸다. 유체역학적 시일링 시스템 (1000) 은 시일링 유체 (1010) 를 이용하여 얼마간의 인접한 반응기들 (1008AA 및 1008AB) 로부터 흐름 셀 어셈블리의 각 반응기 (1008) 를 분리시키는 1 차적 한정 (primary containment) 이도록 구성된 유체역학적 배리어를 형성한다. 일 실시형태의 유체역학적 시일링 시스템 (1000) 은 또한 기판의 경계선의 영역의 페이스 시일 (1000FS) 을 포함한다. 페이스 시일 (1000FS) 은 기판 (1002) 의 전체 영역을 대략 캡슐화하고 반응종의 2 차적인 한정을 제공한다. 시일링 유체 (1010) 는 하나 이상의 반응기들 (1008, 1008AA, 1008AB) 의 반응에 대해 불활성이어서 시일링 유체 (1010) 는 임의의 반응기 (1008, 1008AA, 1008AB) 중 어느 반응에 대해서도 오염을 유도하지 않는다.
유체역학적 시일은 기판 접촉 없이 기판 (1002) 의 표면 위에 반응기들을 위치시킴으로써 제공된다. 기판 (1002) 에 근접하게 반응기들을 위치시키는 것은 반응기들의 저부와 기판 (1002) 사이의 제어된 갭 (1020) 의 형성을 초래한다. 반응기들은 그러므로 기판과 물리적으로 접촉되지 않는다. 제어된 갭 (1020) 의 범위는 시일링 유체 (1010) 및/또는 반응기들 (1008, 1008AA, 1008AB) 의 반응물의 특성 (예를 들어, 유체 구성물, 소수성 (hydrophobicity), 친수성 (hydrophilicity), 반응성, 점성 등) 을 통해 변조될 수 있다.
유체역학적 베어링 매커니즘은 시일링 유체 (1010) 및 유출물 채널의 개별 압력을 제어함으로써 기판 위의 반응기 (1008) 들의 플로우팅 높이, 이로써 제어된 갭 (1020) 을 제어하나, 이에 제한되지 않는다. 시일링 유체 (1010) 는 경계선 공간 (1004) 또는 반응기 (1008) 의 벽 내의 채널 (1012) 들의 제 1 세트를 통해 유체역학적 시일링 시스템 (1000) 으로 도입된다. 일 실시형태의 채널 (1012) 들의 제 1 세트는 하나의 채널을 포함하나 다른 실시형태는 임의의 수 또는 유형의 채널이나 통로를 포함할 수 있다. 반응 유체 (1018) 는 또한 반응기 (1008) 로 도입되고 반응 유체 (1018) 를 포함한 정적 반응의 기간 동안 반응기 (1008) 내에 함유된다. 시일링 유체 (1010) 는 반응기 (1008) 내에 도입되는 반응 유체 (1018) 를 포함하는 유체역학적 배리어를 형성하도록 역할한다. 일 실시형태에서, 이것은 시일링 유체 (1010) 의 적절한 (예를 들어, 높은) 흐름 및/또는 (예를 들어, 짧은) 프로세스 기간을 선택하여 반응기 (1008) 로부터 도입되는 반응 유체 (1018) 의 아웃-디퓨젼을 제한함으로써 달성될 수 있다. 유체역학적 시일은 그러므로 시일링 유체 (1010) 에 의해 확립된 근사 경계선들로 반응 유체 (1018) 의 에지-투-에지 흐름을 제한하는 것에 의해 반응기 (1008) 내부에 기판 (1002) 의 특정 지역 또는 영역을 캡슐화한다. 반응의 완료 시, 반응 유체 (1018) 는 (예를 들어, 흡입관을 통해) 반응기 (1008) 로부터 제거되나 이에 제한되지 않는다.
시일링 유체 (1010) 는 반응기 (1008) 의 경계선 공간 (1004) 내의 채널들의 제 2 세트 (1014) 를 통해 반응 폐기물 (1019) 과 함께 수집된다. 반응기의 경계선 공간 (1004) 의 채널 (1004) 들의 제 2 세트는 시일링 채널로서 정의된 영역 내에서 채널들의 제 1 세트와 채널 (1014) 들이 대응하는 반응기 사이에 위치된다. 일 실시형태의 채널 (1004) 들의 제 2 세트는 하나의 채널을 포함하나 다른 실시형태는 임의의 수 또는 유형의 채널이나 통로를 포함할 수 있다. 일 실시형태의 유체역학적 시일링 시스템은 채널 (1014) 의 제 2 세트를 통해 시일링 유체 (1010) 및/또는 반응 폐기물 (1019) 을 수집하는 진공 소스를 포함한다.
상술한 유체 역학 시일링 시스템은 반응기의 컴포넌트들이 기판과 직접 물리적 접촉을 갖지 않고 반응기-대-반응기 분리를 제공하고, 그것에 의하여 반응기와의 물리적 접촉에 의해 반응 오염의 가능성을 저감하거나 제거한다. 유체역학적 시일링 시스템은 또한 2 레벨의 한정을 제공하여 환경에 반응물의 누설이 없음을 보장한다.
일 실시형태의 기판 처리는 기판 상에 재료 (예를 들어, 층 또는 구조물을 형성) 를 형성하는 하나 이상의 기판 처리 시스템 및 프로세스에서 사용된다. 본 명세서에서 사용되는 바와 같이 기판 상의 재료의 형성은 기판 재료 상에 직접적으로 재료를 형성하는 것뿐만 아니라 기판 상에 기 형성된 다른 재료 상에 재료를 형성하는 것 모두를 포함하나, 이에 제한되지 않을 수도 있다. 기판 처리는 매우 저렴하게 기판 상에 매우 작은 (예를 들어, 나노 크기 규모에서) 구조물 및 피쳐의 생성을 가능하게 하고, 이것은 다양한 생성물의 제조 시에 유용할 수 있다. 추가적으로, 기판 처리는 상용 기판 처리 장치 및 방법 (예를 들어, 상용 반도체 처리 장비 및 방법) 에 의해 가능하게 된 하나 이상의 능력을 이용하여 기판 상에 재료를 형성하는 기판 처리의 성능을 용이하게 하고/용이하게 하거나 강화할 수 있다.
기판 처리는 임의의 크기의 기판을 포함할 수 있다. 예를 들어, 기판 처리는 많은 전자 컴포넌트의 제조 시 사용된 1 제곱 인치 미만인 면적을 갖는 작은 반도체 기판에서 12 인치 (300㎜) 이상의 반도체 기판까지의 처리 시에 사용될 수 있다. 일반적으로, 처리될 수 있는 기판의 크기에 제한이 없다. 예를 들어, 기판 처리는 전자 컴포넌트를 생성하는데 사용된 반도체 기판의 각각 계속되는 보다 큰 생성을 처리하는데 사용될 수 있다. 기판 처리는 또한 평판 패널 디스플레이의 제조 시 사용되는 상대적으로 큰 기판을 처리하는데 사용될 수 있다. 이러한 기판은 약 1 제곱 미터의 크기의 장방형 기판을 포함하나 보다 큰 기판이 사용될 수 있다. 기판 처리는 또한 고정된 폭을 갖는 가요성 기판에 대한 롤-투-롤 처리 애플리케이션에 사용하기 위하여 스케일될 수 있으나 (이론적으로) 길이 제한은 없고 (평판 패널 디스플레이의 제조 시 특히 유용할 수 있는 기판 처리의 방식); 예를 들어, 이러한 기판 롤은 수 백 피트의 길이일 수 있다.
기판 처리는 단일 기판 또는 복수의 기판 (예를 들어, 배치 처리) 의 처리 시 사용될 수 있다. 예를 들어, 습식 반도체 처리 시, 단일 기판은 처리될 수 있고, 또한 예를 들어, 13, 25 또는 50 개의 기판의 배치는 단일 시간에 처리될 수 있다. 건식 반도체 처리 및 평판 패널 디스플레이 제조 시, 통상적으로, 단일 기판은 한번에 처리된다.
본 명세서에서 서술한 기판 처리는 습식 처리 및/또는 건식 처리를 포함할 수 있다. 습식 처리에서, 기판은 유체를 이용하여 처리된다. 예를 들어, 기판은 구체적 특성 (예를 들어, 구체적 화학 약품의 조성물) 을 갖는 유체 내에 전체 또는 일부가 침지될 수 있다. 또한, 예를 들어, 유체는 구체적 방식으로 기판 상에 분사될 수 있다. 일 실시형태의 기판 처리에 사용하는 습식 처리는 원하는 처리를 위해 적절하게 임의의 다양한 화학 약품 구성물들을 이용할 수 있다.
건식 처리 (예를 들어, 물리적 기상 증착, 화학적 기상 증착, 플라즈마-강화된 화학적 기상 증착, 및 원자층 증착) 시, 플라즈마 또는 가스는 구체화된 방식으로 기판 표면을 처리하는 기판과의 원하는 상호 작용을 생성하도록 사용된다. 기판 처리에 사용하는 건식 처리는 원하는 처리를 위해 적절하게 불활성 가스 또는 반응성 가스를 이용할 수 있다.
임의의 다양한 화학 약품 구성물 또는 다른 반응물 (집합적으로 본 명세서에서 구성물 또는 화학 약품 구성물로서 불림) 은 일 실시형태의 기판 처리 시스템에 의해 기판 처리 및 관련된 프로세스를 달성하도록 사용될 수 있다. 구성물은 액상, 기상 및/또는 액상과 기상의 부분 조합 (예를 들어, 초임계 유체상) 으로 존재할 수 있다. 사용되는 구성물 및 그 농도뿐만 아니라 구성물의 혼합물은 실시될 특정 프로세스 단계(들)에 의존할 것이다. 화학 약품 전달 시스템은 프로세스에 적절하게 화학 약품 구성물에 대한 몰 농도, 온도, 유량 및 압력의 정밀한 제어를 가능하게 할 수 있다. 화학 약품 전달 시스템은 또한 오염의 적절한 여과 및 제어를 제공할 수 있다.
기판 처리 시스템에 대해 서술된 실시형태의 상기 설명은 철저히 또는 정확히 개시된 형태로 기판 처리 시스템을 제한하도록 의도되지 않는다. 기판 처리 시스템의 구체적 실시형태 및 실시예가 본 명세서에서 예시적인 목적으로서 기술되지만, 다양한 균등 변경들은 관련 분야의 당업자가 인식하는 것과 같이 기판 처리 시스템의 범위 내에서 가능하다. 본 명세서에서 제공된 기판 처리 시스템의 가르침은 상술한 시스템 및 방법뿐만 아니라 다른 처리 시스템 및 방법에 적용될 수 있다.
상술한 다양한 실시형태의 요소 및 행위는 추가 실시형태를 제공하도록 결합될 수 있다. 이들 및 다른변경들은 상기 상세한 설명의 견지에서 기판 처리 시스템에 행해질 수 있다.
일반적으로, 다음의 청구범위에 있어서, 사용되는 용어들은 상세한 설명 및 청구범위에서 개시된 특정 실시형태로 기판 처리 시스템을 제한하도록 해석되지 말아야 하고, 청구범위 하에서 동작되는 모든 처리 시스템을 포함하도록 해석되어야 한다.

Claims (33)

  1. 복수의 화학 약품으로부터 복수의 용액을 생성하는 단계로서, 조성물은 상기 복수의 용액 중 상이한 용액들 간에서 조합적 방식으로 변경되고 독립적으로 제어되는, 상기 복수의 용액을 생성하는 단계; 및
    유체 전달 시스템을 통해 종래의 처리 모듈 및 조합형 모듈로 상기 복수의 화학 약품 및 상기 복수의 용액을 분배하는 단계로서, 조합형 처리 모듈에 공급하는 상기 유체 전달 시스템은 상기 종래의 처리 모듈에 공급하는 상기 유체 전달 시스템과 공유되어, 상기 조합형 처리 모듈 내의 하나의 사이트 분리된 반응기로 전달된 용액이 상기 조합형 처리 모듈 내의 제 2 사이트 분리된 반응기로 전달된 용액과 독립적으로 변화될 수 있는, 상기 복수의 화학 약품 및 상기 복수의 용액을 분배하는 단계를 포함하는, 기판의 혼합 모드 처리 방법.
  2. 제 1 항에 있어서,
    상기 조성물은 각각 상기 복수의 용액을 생성하는 단계, 및 상기 복수의 화학 약품 및 상기 복수의 용액을 분배하는 단계를 포함하는 복수의 프로세스 시퀀스 중 하나 이상에 대한 레시피에 따라 변화되는, 기판의 혼합 모드 처리 방법.
  3. 제 1 항에 있어서,
    각각 상기 복수의 용액을 생성하는 단계, 및 상기 복수의 화학 약품 및 상기 복수의 용액을 분배하는 단계를 포함하는 복수의 프로세스들을 동기화하는 단계를 포함하는, 기판의 혼합 모드 처리 방법.
  4. 제 1 항에 있어서,
    상기 복수의 용액을 생성하는 단계, 및 상기 복수의 화학 약품 및 상기 복수의 용액을 분배하는 단계를 포함하는 프로세스 시퀀스에 대한 광역적 파라미터 (global parameter) 에 따라 복수의 영역에 걸쳐 분배의 타이밍을 제어하는 단계를 포함하는, 기판의 혼합 모드 처리 방법.
  5. 제 4 항에 있어서,
    상기 광역적 파라미터는 시간, 온도 및 pH 중 하나 이상을 포함하는, 기판의 혼합 모드 처리 방법.
  6. 제 1 항에 있어서,
    상기 복수의 용액의 분배 단계는 복수의 영역들 세트의 영역들에 병렬로 용액을 분배하는 단계를 포함하는, 기판의 혼합 모드 처리 방법.
  7. 제 1 항에 있어서,
    적어도 하나의 제 1 프로세스 세트를 이용하여 전체 표면 상으로의 상기 화학 약품의 분배를 제어하고 그후, 적어도 하나의 제 2 프로세스 세트를 이용하여 상기 복수의 용액의 분배를 제어하는 단계를 포함하는, 기판의 혼합 모드 처리 방법.
  8. 제 1 항에 있어서,
    적어도 하나의 제 2 프로세스 세트를 이용하여 상기 복수의 용액의 분배를 제어하고 그후, 적어도 하나의 제 1 프로세스 세트를 이용하여 전체 표면 상으로 상기 화학 약품의 분배를 제어하는 단계를 포함하는, 기판의 혼합 모드 처리 방법.
  9. 제 1 항에 있어서,
    직렬 흐름, 빠른 직렬 흐름, 직렬/병렬 흐름, 및 병렬 흐름 중 하나 이상을 이용하여 복수의 용액의 분배를 제어하는 단계를 포함하는, 기판의 혼합 모드 처리 방법.
  10. 제 1 항에 있어서,
    영역들의 제 1 세트의 각 영역에 용액을 직렬로 흘리고 영역들의 제 2 세트의 각 영역에 용액을 병렬로 흘리도록 상기 복수의 용액의 분배를 제어하는 단계를 포함하는, 기판의 혼합 모드 처리 방법.
  11. 제 1 항에 있어서,
    상기 종래의 처리 모듈에 전달된 용액은 상기 조합형 처리 모듈 내의 사이트 분리된 반응기들에 전달된 용액과 독립적으로 변화되는, 기판의 혼합 모드 처리 방법.
  12. 유체 전달 시스템;
    상기 유체 전달 시스템에 결합되고, 상기 유체 전달 시스템으로부터 화학 약품을 수용하도록 구성되며, 화학 약품 전달 및 웨이퍼의 사이트-분리된 처리를 실행하는데 사용된 처리 파라미터들의 독립적 제어를 위해 구성된 복수의 사이트-분리된 반응기; 및
    상기 유체 전달 시스템에 결합되고, 상기 웨이퍼의 풀-웨이퍼 (full-wafer) 처리를 실행하는 풀 웨이퍼 반응기로서, 상기 풀-웨이퍼 처리는 상기 사이트-분리된 처리와 통합되는, 상기 풀 웨이퍼 반응기를 포함하는, 기판 처리 시스템.
  13. 제 12 항에 있어서,
    상기 유체 전달 시스템은 적어도 하나의 제 2 매니폴드 (manifold) 에 결합되는 제 1 혼합 용기에 결합된 적어도 하나의 제 1 매니폴드를 포함하고, 상기 제 2 매니폴드는 추가적인 혼합 용기에 결합되는, 기판 처리 시스템.
  14. 제 13 항에 있어서,
    상기 제 1 매니폴드의 수는 사이트-분리된 반응기의 수와 동일한, 기판 처리 시스템.
  15. 제 13 항에 있어서,
    상기 제 1 매니폴드의 수는 상기 제 2 매니폴드의 수와 동일한, 기판 처리 시스템.
  16. 제 12 항에 있어서,
    상기 유체 전달 시스템에 결합된 복수의 화학 약품을 포함하고, 상기 복수의 화학 약품은 상기 제 1 매니폴드를 통해 분배되는, 기판 처리 시스템.
  17. 제 12 항에 있어서,
    상기 유체 전달 시스템은 제 1 시퀀스를 이용하여 제 1 사이트-분리된 반응기로 상기 화학 약품을 전달하고, 제 2 시퀀스를 이용하여 제 2 사이트-분리된 반응기로 상기 화학 약품을 전달하도록 구성되는, 기판 처리 시스템.
  18. 제 12 항에 있어서,
    상기 유체 전달 시스템은 제 1 유량 (flow rate) 을 이용하여 제 1 사이트-분리된 반응기로 상기 화학 약품을 전달하고, 제 2 유량을 이용하여 제 2 사이트-분리된 반응기로 상기 화학 약품을 전달하도록 구성되는, 기판 처리 시스템.
  19. 풀-웨이퍼 (full-wafer) 처리 모듈; 및
    조합형 처리 모듈을 포함하고,
    상기 조합형 처리 모듈에서 사용하는 화학 약품은 제 1 매니폴드들의 세트, 적어도 하나의 혼합 용기에 결합된 각 제 1 매니폴드의 출력, 제 2 매니폴드들의 세트 중 2 이상에 공급하는 각 혼합 용기의 출력, 상기 조합형 처리 모듈의 복수의 사이트-분리된 반응기 중 하나에 공급하는 각 제 2 매니폴드 세트의 출력을 포함한 전달 시스템으로부터 공급되는, 통합된 처리 시스템.
  20. 제 19 항에 있어서,
    상기 풀-웨이퍼 처리 모듈에서 사용하는 상기 화학 약품은 제 3 매니폴드들의 세트 또는 상기 제 1 매니폴드들의 세트 중 하나로부터 공급되는, 통합된 처리 시스템.
  21. 제 19 항에 있어서,
    상기 풀-웨이퍼 처리 모듈 및 상기 조합형 처리 모듈에 결합된 제어기를 포함하는, 통합된 처리 시스템.
  22. 제 21 항에 있어서,
    상기 제어기는 적어도 하나의 제 1 프로세스 세트를 이용하여 상기 풀-웨이퍼 처리 모듈에 의해서 그리고 그후 적어도 하나의 제 2 프로세스 세트를 이용하여 상기 조합형 처리 모듈에 의해서 기판의 처리를 제어하도록 구성되는, 통합된 처리 시스템.
  23. 제 21 항에 있어서,
    상기 제어기는 사이트-분리된 반응기들의 제 1 세트의 각 사이트-분리된 반응기로 상기 화학 약품을 직렬로 흘리고 사이트-분리된 반응기들의 제 2 세트의 각 사이트-분리된 반응기로 상기 화학 약품을 병렬로 흘리도록 구성되는, 통합된 처리 시스템.
  24. 사이트-분리된 반응기 (SIR: site-isolated reactor) 를 포함한 통합된 처리 도구로서,
    상기 SIR 은,
    각각이 복수의 화학 약품에 결합되는 제 1 매니폴드들의 세트;
    각각이 제 1 매니폴드의 각각의 출력에 결합되는 복수의 혼합 용기들;
    각각이 적어도 하나의 혼합 용기의 출력과 복수의 화학 약품들에 결합되는 제 2 매니폴드들의 세트; 및
    각각이 적어도 하나의 제 2 매니폴드의 출력에 결합되는 복수의 흐름 셀들을 포함하는, 통합된 처리 도구.
  25. 제 24 항에 있어서,
    상기 제 1 매니폴드들 및 상기 제 2 매니폴드들 중 하나 이상은 진공 소스에 결합되는, 통합된 처리 도구.
  26. 제 24 항에 있어서,
    상기 제 2 매니폴드와 대응하는 흐름 셀 간에 결합된 인-라인 혼합기를 더 포함하는, 통합된 처리 도구.
  27. 제 24 항에 있어서,
    상기 제 1 매니폴드들의 세트는 각 혼합 용기 내에 형성되는 조성물 또는 각 혼합 용기에 대한 체적 중 하나를 독립적으로 변화시키도록 구성되는, 통합된 처리 도구.
  28. 제 24 항에 있어서,
    각 혼합 용기는 교반 요소, 온도 제어 요소, 및 pH 제어 요소 중 하나 이상을 포함하는, 통합된 처리 도구.
  29. 제 24 항에 있어서,
    상기 제 2 매니폴드들의 세트는 하나 이상의 화학 약품들 및 적어도 하나의 혼합 용기의 출력을 시퀀싱하도록 구성되는, 통합된 처리 도구.
  30. 제 24 항에 있어서,
    상기 제 2 매니폴드들의 세트는 직렬 전달, 빠른 직렬 전달, 직렬/병렬 전달 및 병렬 전달 중 하나 이상을 이용하여 상기 흐름 셀로 화학 약품들을 흘리도록 구성되는, 통합된 처리 도구.
  31. 제 24 항에 있어서,
    복수의 슬리브 (sleeve) 들에 결합된 반응기 블록을 포함하고, 슬리브들의 세트는 각각의 흐름 셀을 수용하도록 구성되고, 처리될 기판의 영역을 분리하는, 통합된 처리 도구.
  32. 제 31 항에 있어서,
    복수의 흐름 셀들에 결합되는 고정물 (fixture) 을 포함하고, 상기 고정물은 상기 슬리브들의 세트에 대해 상기 복수의 흐름 셀들의 수직 위치를 조작하도록 구성되고, 각 SIR 용기의 체적을 동적이고 독립적으로 제어하도록 구성되는, 통합된 처리 도구.
  33. 제 24 항에 있어서,
    상기 복수의 화학 약품에 결합된 제 3 매니폴드; 및
    상기 제 3 매니폴드에 결합된 풀 웨이퍼 반응기를 포함하는, 통합된 처리 도구.
KR1020097013507A 2006-12-29 2007-12-26 사이트-분리된 반응기를 구비한 통합된 도구를 위한 향상된 혼합 시스템 KR101387877B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/647,881 US8011317B2 (en) 2006-12-29 2006-12-29 Advanced mixing system for integrated tool having site-isolated reactors
US11/647,881 2006-12-29
PCT/US2007/088859 WO2008083178A1 (en) 2006-12-29 2007-12-26 Advanced mixing system for integrated tool having site-isolated reactors

Publications (2)

Publication Number Publication Date
KR20090102773A true KR20090102773A (ko) 2009-09-30
KR101387877B1 KR101387877B1 (ko) 2014-04-22

Family

ID=39582389

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097013507A KR101387877B1 (ko) 2006-12-29 2007-12-26 사이트-분리된 반응기를 구비한 통합된 도구를 위한 향상된 혼합 시스템

Country Status (6)

Country Link
US (4) US8011317B2 (ko)
JP (1) JP2010515273A (ko)
KR (1) KR101387877B1 (ko)
CN (1) CN101606226B (ko)
TW (1) TWI385715B (ko)
WO (1) WO2008083178A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170025417A (ko) * 2015-08-28 2017-03-08 삼성전자주식회사 Cs-ald 장치의 샤워헤드

Families Citing this family (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110081415A1 (en) * 2005-03-10 2011-04-07 Taisho Pharmaceutical Co., Ltd Coating apparatus
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
JP5474278B2 (ja) * 2007-02-22 2014-04-16 ピーエスフォー ルクスコ エスエイアールエル 超臨界プロセス用バッチ式成膜装置及び半導体装置の製造方法
US9044774B2 (en) * 2007-12-18 2015-06-02 Intermolecular, Inc. Vented combinatorial processing cell
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
US8220502B1 (en) * 2007-12-28 2012-07-17 Intermolecular, Inc. Measuring volume of a liquid dispensed into a vessel
US9016233B1 (en) * 2008-04-23 2015-04-28 Intermolecular, Inc. Volume combinatorial processing chamber
US20100075060A1 (en) * 2008-09-24 2010-03-25 Pravin Narwankar process tool including plasma spray for carbon nanotube growth
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8647446B2 (en) 2011-12-07 2014-02-11 Intermolecular, Inc. Method and system for improving performance and preventing corrosion in multi-module cleaning chamber
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103811378B (zh) * 2012-11-14 2016-08-03 沈阳芯源微电子设备有限公司 一种半导体制造设备的自动移动装置
US8893923B2 (en) * 2012-11-28 2014-11-25 Intermolecular, Inc. Methods and systems for dispensing different liquids for high productivity combinatorial processing
US20140144471A1 (en) * 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6388886B2 (ja) * 2013-03-06 2018-09-12 プラズマ − サーム、エルエルシー 半導体ウエハをプラズマ・ダイシングするための方法
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US9269567B2 (en) * 2013-12-17 2016-02-23 Intermolecular, Inc. High productivity combinatorial processing using pressure-controlled one-way valves
US20150233008A1 (en) * 2014-02-13 2015-08-20 Skyworks Solutions, Inc. Apparatus and methods related to copper plating of wafers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11357966B2 (en) 2015-04-23 2022-06-14 B. Braun Medical Inc. Compounding device, system, kit, software, and method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN106971660A (zh) * 2016-10-27 2017-07-21 北京石油化工学院 一种基于静态混合器的多功能模块化实验装置
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1251283B (de) 1967-10-05 Siemens Aktiengesellschaft, Berlin und München, München Vorrichtung zum gleichzeitigen Herstellen einer Vielzahl von einkristallinen Halbleiterkörpern
US3131098A (en) 1960-10-26 1964-04-28 Merck & Co Inc Epitaxial deposition on a substrate placed in a socket of the carrier member
DE2307649B2 (de) 1973-02-16 1980-07-31 Robert Bosch Gmbh, 7000 Stuttgart Anordnung zum Aufstäuben verschiedener Materialien auf einem Substrat
US4743954A (en) 1985-06-07 1988-05-10 University Of Utah Integrated circuit for a chemical-selective sensor with voltage output
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US6849462B1 (en) 1991-11-22 2005-02-01 Affymetrix, Inc. Combinatorial strategies for polymer synthesis
EP0916396B1 (en) * 1991-11-22 2005-04-13 Affymetrix, Inc. (a Delaware Corporation) Combinatorial strategies for polymer synthesis
US5384261A (en) * 1991-11-22 1995-01-24 Affymax Technologies N.V. Very large scale immobilized polymer synthesis using mechanically directed flow paths
US6943034B1 (en) * 1991-11-22 2005-09-13 Affymetrix, Inc. Combinatorial strategies for polymer synthesis
US5356756A (en) 1992-10-26 1994-10-18 The United States Of America As Represented By The Secretary Of Commerce Application of microsubstrates for materials processing
US5985356A (en) 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
US6004617A (en) 1994-10-18 1999-12-21 The Regents Of The University Of California Combinatorial synthesis of novel materials
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US5603351A (en) 1995-06-07 1997-02-18 David Sarnoff Research Center, Inc. Method and system for inhibiting cross-contamination in fluids of combinatorial chemistry device
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
JP3421887B2 (ja) * 1995-04-27 2003-06-30 ソニー株式会社 洗浄方法およびこれに用いる洗浄装置
US6063633A (en) 1996-02-28 2000-05-16 The University Of Houston Catalyst testing process and apparatus
US6159644A (en) 1996-03-06 2000-12-12 Hitachi, Ltd. Method of fabricating semiconductor circuit devices utilizing multiple exposures
AU4662097A (en) 1996-10-01 1998-04-24 Symyx Technologies, Inc. Potential masking systems and methods for combinitorial library synthesis
US6576906B1 (en) 1999-10-08 2003-06-10 Symyx Technologies, Inc. Method and apparatus for screening combinatorial libraries for semiconducting properties
US6818110B1 (en) 1997-09-30 2004-11-16 Symyx Technologies, Inc. Combinatorial electrochemical deposition and testing system
US6187164B1 (en) 1997-09-30 2001-02-13 Symyx Technologies, Inc. Method for creating and testing a combinatorial array employing individually addressable electrodes
US6079873A (en) 1997-10-20 2000-06-27 The United States Of America As Represented By The Secretary Of Commerce Micron-scale differential scanning calorimeter on a chip
JP4947834B2 (ja) 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
DE19822077A1 (de) 1998-05-16 1999-11-18 Studiengesellschaft Kohle Mbh Kombinatorisches Verfahren zur Herstellung und Charakterisierung von kristallinen und amorphen Materialbibliotheken im Mikrogramm-Maßstab
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6306658B1 (en) 1998-08-13 2001-10-23 Symyx Technologies Parallel reactor with internal sensing
US6344084B1 (en) 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
ATE268735T1 (de) 1998-09-18 2004-06-15 Symyx Technologies Inc Herstellung von kombinatorischen arrays von materialen durch methoden der synthese in lösung
US6683446B1 (en) 1998-12-22 2004-01-27 John Pope Electrode array for development and testing of materials
US6830663B2 (en) 1999-01-26 2004-12-14 Symyx Technologies, Inc. Method for creating radial profiles on a substrate
US6364956B1 (en) 1999-01-26 2002-04-02 Symyx Technologies, Inc. Programmable flux gradient apparatus for co-deposition of materials onto a substrate
WO2000048725A1 (en) 1999-02-17 2000-08-24 Oxxel Oxide Electronics Technology, Inc. Method for preparation of libraries using a combinatorial molecular beam epitaxy (combe) apparatus
US6749814B1 (en) * 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US7150994B2 (en) * 1999-03-03 2006-12-19 Symyx Technologies, Inc. Parallel flow process optimization reactor
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US7052545B2 (en) 2001-04-06 2006-05-30 California Institute Of Technology High throughput screening of crystallization of materials
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6750152B1 (en) 1999-10-01 2004-06-15 Delphi Technologies, Inc. Method and apparatus for electrically testing and characterizing formation of microelectric features
DE19959974A1 (de) 1999-12-13 2001-06-21 Basf Ag Verfahren zur Herstellung von Materialbibliotheken durch elektrochemische Abscheidung
US6420250B1 (en) 2000-03-03 2002-07-16 Micron Technology, Inc. Methods of forming portions of transistor structures, methods of forming array peripheral circuitry, and structures comprising transistor gates
US6491759B1 (en) 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
US6983233B1 (en) 2000-04-19 2006-01-03 Symyx Technologies, Inc. Combinatorial parameter space experiment design
US6282096B1 (en) * 2000-04-28 2001-08-28 Siliconware Precision Industries Co., Ltd. Integration of heat conducting apparatus and chip carrier in IC package
US6911129B1 (en) 2000-05-08 2005-06-28 Intematix Corporation Combinatorial synthesis of material chips
CA2344946A1 (en) 2000-05-10 2001-11-10 Symyx Technologies, Inc. Polymer libraries on a substrate, method of forming polymer libraries on a substrate and characterization methods with same
US6821910B2 (en) 2000-07-24 2004-11-23 University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6420178B1 (en) 2000-09-20 2002-07-16 General Electric Company High throughput screening method, array assembly and system
US6503834B1 (en) 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US20020079487A1 (en) 2000-10-12 2002-06-27 G. Ramanath Diffusion barriers comprising a self-assembled monolayer
US20020105081A1 (en) 2000-10-12 2002-08-08 G. Ramanath Self-assembled near-zero-thickness molecular layers as diffusion barriers for Cu metallization
US6482264B1 (en) * 2000-10-26 2002-11-19 General Electric Company Systems and methods for fabrication of coating libraries
CA2431066C (en) 2000-12-15 2007-05-15 Youqi Wang Methods and apparatus for designing high-dimensional combinatorial experiments
US7992655B2 (en) * 2001-02-15 2011-08-09 Dual Gradient Systems, Llc Dual gradient drilling method and apparatus with multiple concentric drill tubes and blowout preventers
US6607977B1 (en) 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6752166B2 (en) * 2001-05-24 2004-06-22 Celerity Group, Inc. Method and apparatus for providing a determined ratio of process fluids
US20030118078A1 (en) 2001-08-10 2003-06-26 Carlson Eric D. Apparatuses and methods for creating and testing pre-formulations and systems for same
US20030032198A1 (en) 2001-08-13 2003-02-13 Symyx Technologies, Inc. High throughput dispensing of fluids
KR100434946B1 (ko) 2001-09-28 2004-06-10 학교법인 성균관대학 무전해도금방식을 이용한 반도체 소자의 구리배선형성방법
US6758951B2 (en) 2001-10-11 2004-07-06 Symyx Technologies, Inc. Synthesis and characterization of materials for electrochemical cells
US7294564B2 (en) 2001-10-12 2007-11-13 Siltronic Ag Method for forming a layered semiconductor technology structure and corresponding layered semiconductor technology structure
US6689218B2 (en) * 2001-10-23 2004-02-10 General Electric Company Systems for the deposition and curing of coating compositions
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US7335153B2 (en) 2001-12-28 2008-02-26 Bio Array Solutions Ltd. Arrays of microparticles and methods of preparation thereof
WO2003058671A2 (en) 2002-01-14 2003-07-17 Universität Augsburg Target end station for the combinatory ion implantation and method of ion implantation
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
US6908807B2 (en) 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US20040071888A1 (en) 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US20030224105A1 (en) 2002-05-30 2003-12-04 Symyx Technologies, Inc. Apparatus and methods for forming films on substrates
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
JP4261931B2 (ja) 2002-07-05 2009-05-13 株式会社荏原製作所 無電解めっき装置および無電解めっき後の洗浄方法
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7247346B1 (en) * 2002-08-28 2007-07-24 Nanosolar, Inc. Combinatorial fabrication and high-throughput screening of optoelectronic devices
US6821909B2 (en) 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
JP4071612B2 (ja) 2002-12-11 2008-04-02 三菱電機株式会社 銅下地膜形成材料、銅下地膜形成方法、銅下地膜および半導体装置
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US7432006B2 (en) 2003-05-27 2008-10-07 Intematix Corporation Electrochemical probe for screening multiple-cell arrays
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US7416911B2 (en) 2003-06-24 2008-08-26 California Institute Of Technology Electrochemical method for attaching molecular and biomolecular structures to semiconductor microstructures and nanostructures
EP1644517A4 (en) 2003-06-30 2010-04-21 Raustech Pty Ltd MANUFACTURE AND PRODUCTION IN MICRO AND NANOMASS STABS BY SPATIAL SELECTION OF DEPOSITS
US7008871B2 (en) 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
US7071022B2 (en) 2003-07-18 2006-07-04 Corning Incorporated Silicon crystallization using self-assembled monolayers
JP2005044866A (ja) * 2003-07-23 2005-02-17 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
US6905958B2 (en) 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US7179758B2 (en) 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
GB2406860A (en) 2003-10-09 2005-04-13 Univ Southampton Vapour deposition method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
WO2006110153A2 (en) 2004-06-18 2006-10-19 North Dakota State University Multi-well plates
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
WO2006058034A2 (en) 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US20060258128A1 (en) 2005-03-09 2006-11-16 Peter Nunan Methods and apparatus for enabling multiple process steps on a single substrate
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20070029189A1 (en) * 2005-08-02 2007-02-08 The University Of Chicago Combinatorial electrochemical deposition system
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7960313B2 (en) * 2007-06-14 2011-06-14 Intermolecular, Inc. Combinatorial processing including stirring

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170025417A (ko) * 2015-08-28 2017-03-08 삼성전자주식회사 Cs-ald 장치의 샤워헤드

Also Published As

Publication number Publication date
US8011317B2 (en) 2011-09-06
US8414703B2 (en) 2013-04-09
JP2010515273A (ja) 2010-05-06
TWI385715B (zh) 2013-02-11
CN101606226B (zh) 2012-05-09
US8207069B2 (en) 2012-06-26
WO2008083178A1 (en) 2008-07-10
TW200845143A (en) 2008-11-16
CN101606226A (zh) 2009-12-16
US20130065796A1 (en) 2013-03-14
US20120231975A1 (en) 2012-09-13
KR101387877B1 (ko) 2014-04-22
US20110281773A1 (en) 2011-11-17
US20080156769A1 (en) 2008-07-03

Similar Documents

Publication Publication Date Title
KR101387877B1 (ko) 사이트-분리된 반응기를 구비한 통합된 도구를 위한 향상된 혼합 시스템
US9076716B2 (en) Methods for discretized processing and process sequence integration of regions of a substrate
US7902063B2 (en) Methods for discretized formation of masking and capping layers on a substrate
US6299696B2 (en) Substrate processing apparatus and substrate processing method
US8772772B2 (en) System and method for increasing productivity of combinatorial screening
US7357846B2 (en) Substrate processing apparatus and substrate processing method
US8776717B2 (en) Systems for discretized processing of regions of a substrate
US20080128696A1 (en) Methods for discretized processing and process sequence integration of regions of a substrate
US20140133265A1 (en) Contactless Magnetically Driven Agitation Systems
US8893923B2 (en) Methods and systems for dispensing different liquids for high productivity combinatorial processing
JP2004200666A (ja) 基板処理方法及び基板処理装置
KR20170011158A (ko) 기판 처리 장치
KR20180043238A (ko) 기판 처리 설비 및 약액 공급 장치
KR20170134815A (ko) 기판 처리 설비 및 약액 공급 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee