JP2010515273A - 部位単離リアクタを有する統合ツールのための進歩した混合システム - Google Patents

部位単離リアクタを有する統合ツールのための進歩した混合システム Download PDF

Info

Publication number
JP2010515273A
JP2010515273A JP2009544251A JP2009544251A JP2010515273A JP 2010515273 A JP2010515273 A JP 2010515273A JP 2009544251 A JP2009544251 A JP 2009544251A JP 2009544251 A JP2009544251 A JP 2009544251A JP 2010515273 A JP2010515273 A JP 2010515273A
Authority
JP
Japan
Prior art keywords
substrate
reactor
manifold
processing
manifolds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009544251A
Other languages
English (en)
Inventor
カート エイチ. ウェイナー,
トニー ピー. チャン,
アーロン フランシス,
ジョン シュミット,
Original Assignee
インターモレキュラー, インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by インターモレキュラー, インコーポレイテッド filed Critical インターモレキュラー, インコーポレイテッド
Publication of JP2010515273A publication Critical patent/JP2010515273A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/4673Plural tanks or compartments with parallel flow
    • Y10T137/469Sequentially filled and emptied [e.g., holding type]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining
    • Y10T137/87281System having plural inlets

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

フルウエハ処理モジュールと、組み合わせ処理モジュールとを備える、統合処理ツールを記載する。組み合わせ処理モジュールで使用するための化学物質は、一式の第1の多岐管を含む送達システムから供給される。各第1の多岐管の産出は、少なくとも1つの混合容器に連結される。各混合容器の産出は、一式の第2の多岐管のうちの2つ以上に供給する。各一式の第2の多岐管の産出は、組み合わせ処理モジュールの複数の部位単離リアクタのうちの1つに供給する。

Description

本明細書の本開示は、概して、基板処理に関し、より具体的には、統合部位単離処理およびフルウエハ処理を使用する基板処理に関する。
各後継的世代のシリコン集積回路(IC)の所望の性能強化を達成するために、半導体製造は、新しい材料および先進的工程順序への統合にますます依存するようになってきている。残念ながら、典型的な半導体製造機器は、材料探索および統合によく適していない。典型的な半導体製造機器の使用に影響を及ぼす問題は、処理材料および化学物質を急速に変更することが困難であること、単一リアクタまたは処理チャンバ中で複数の材料または化学物質を統合および配列する能力が限定されていること、高い機器費用、大きなサンプルサイズ(300mmのウエハ)、および融通の利かない工程/リアクタ構成を含む。従来の製造ツールを補完するために、広範囲の工程条件にわたって新しい材料および材料処理順序の迅速試験を促進する、処理機器の必要性が生じている。
本明細書で多重チャネル部位単離リアクタ(MCSIR)と呼ばれる、統合処理ツールを以下に記載する。MCSIRは、フルウエハ処理モジュールおよび組み合わせの部位単離処理モジュールから成る。統合処理ツールの主要目的は、完全基板と完全基板の複数の部位単離領域との間で混合モード処理を達成することである。したがって、処理モジュールで使用するための化学物質は、組成を組み合わせ方法で変動させることができ、成分を工程順序に対する処方の一部として規定することができる、溶液の生成を可能にする、一式の第1の多岐管を含む共通送達システムから供給される。徹底的な溶液混合ならびに正確な温度およびpH制御を可能にするために、各第1の多岐管の産出は、少なくとも1つの混合容器に連結される。各混合容器の産出は、続いて、一式の第2の多岐管のうちの1つ以上に分注される。各一式の第2の多岐管の産出は、処理モジュールの1つ以上のリアクタに供給する。混合容器中で静的に混合される溶液を提供することに加えて、第2の一式の多岐管は、複数の化学物質が同時に分配されることを可能にして、溶液の動的なインライン混合を促進する。
部位単離処理に関して、MCSIRは、完全基板上の複数の独立部位にまとめて対処する、複数の独立して制御された処理チャンバを統合する。MCSIRは、直列および/または並列方式のうちの1つ以上で基板上に種々の化学物質溶液または組成を混合および分注する能力を提供し、任意の数のリアクタまたはリアクタのうちの1つ以上の小グループへの流動および/または溶液組成を独立して変動させる能力を提供する。MCSIRは、工程ステップを同期化し、工程順序に対するグローバルパラメータが非部位単離制御パラメータ(例えば、ウエハ基板の温度、リアクタの高さ/容積等)のこの種類の同期化を必要とする時に、全ての部位単離リアクタにわたって決定的タイミングを制御する能力を提供する。
単一の200または300mmシリコン基板にわたって、複数の独立して制御され、かつ配管されたリアクタまたは処理チャンバを提供することによって、本明細書に記載のMCSIRは、従来の半導体製造機器が材料探索および統合によく適さないという問題に対処する。各部位単離リアクタの構成および流動力学は、典型的には、生産リアクタから増減され、工程統合順序への最小限の変化を伴って、フルウエハへの工程規模増加を促進する。加えて、MCSIRの材料送達システムは、チャンバに提供される材料の数ならびに材料統合を達成するために利用される工程順序におけるステップ数の両方において、さらなる融通性を可能にするように構成される。リアクタの小型化、ならびに材料研究および統合に対する緩和機器要件もまた、生産ツールと比較して機器の費用を削減する。
基板を処理するためのシステムおよび方法(例えば、基板上に材料を形成する)を以下に記載する。本明細書でまとめて「基板処理システム」(SPS)と呼ばれる、基板を処理するためのシステムおよび方法は、以下で詳細に記載されるように、組み合わせ処理、従来の基板処理と一体化した組み合わせ工程順序、および/または部位単離処理を含む。一実施形態のSPSは、いくつか例を挙げると、電子構成要素およびフラットパネルディスプレイ等の種々の製品の商業製造で有用となり得る、非常に安価に基板上の微小構造および特徴(例えば、ナノメートルサイズ規模における)の生産を可能にする。下記の種々のシステムおよび方法は、実施例のみとして提示され、本明細書に記載および請求されるシステムおよび方法を、組み合わせ処理、従来の基板処理と一体化した組み合わせ工程順序、および/または部位単離処理の特定の組み合わせに限定することを目的としない。さらに、下記のシステムおよび方法は、特定の工程(例えば、湿式工程、乾式工程等)に限定されない。
以下の説明では、SPSの実施形態の完全な理解および可能にする説明を提供するように、多数の具体的詳細を紹介する。しかしながら、当業者であれば、具体的詳細のうちの1つ以上がなくても、または他の構成要素、システム等により、これらの実施形態を実践できることを認識するであろう。他の例では、開示された実施形態の側面を分かりにくくすることを回避するために、周知の構造または動作を示さないか、または詳細に記載しない。
一実施形態のSPSは、概して、少なくとも1つの基板を受容するように構成される、少なくとも1つのインターフェースを含む。SPSはまた、インターフェースに連結される多数のモジュールを含む。本明細書で構成要素とも呼ばれるモジュールは、前処理モジュール、処理モジュール、および後処理モジュールを含むが、任意の数および/または種類の他のモジュールを含んでもよく、モジュールのうちのいずれかは、前処理、処理、および/または後処理モジュールの機能を含んでもよい。SPSは、任意の特定モジュール種類のそれぞれのうちの少なくとも1つを含む必要はない。また、前処理、処理、および後処理モジュールの全ての機能は、単一モジュール内に組み込まれてもよい。複数のモジュールの各モジュールは、モジュールのうちの少なくとも1つの他方に含有される工程に適切であるように、多数の異なる工程のうちの少なくとも1つを含有することができる。SPSはまた、インターフェースに連結され、インターフェースとモジュールのうちの1つ以上との間で基板を移動させるように構成される、少なくとも1つのハンドラも含む。
図1は、一実施形態下の基板処理システム(SPS)である。 図2は、一実施形態下の組み合わせ工程順序統合のフロー図である。 図3は、一実施形態下の、部位単離処理および/または従来の処理を含む、組み合わせ工程順序統合の工程フローである。 図4は、一実施形態下の、本明細書で多重チャネル部位単離リアクタ(MCSIR)と呼ばれる、統合処理ツールのブロック図である。 図5は、一実施形態下のMCSIRの部位単離処理モジュール(SIPM)である。 図6は、一実施形態下のSIPMの構成要素の一部の間の連結を示す。 図7は、一実施形態下の独立した工程および廃棄物経路を含む、フローセルのブロック図である。 図8は、一実施形態下のチャックに噛合されるフローセルアセンブリを含むMCSIRを示す。 図9は、一実施形態下の流体力学的密封システムを示す。 記載無し。
図1は、一実施形態下の基板処理システム(SPS)100である。基板処理システム100は、前処理モジュール101、処理モジュール102、および後処理モジュール103を含む。SPS100は、前述のモジュール種類のそれぞれのうちの少なくとも1つを含む必要はない。例えば、特定の工程フローは、処理モジュール102のみと、基板をシステム100の内外に移動させるための手段とを含んでもよい。また、前処理、処理、および後処理モジュールの全ての機能は、単一モジュール内に組み込まれてもよい。モジュール101、102、および103はそれぞれ、モジュール101、102、および103が使用される基板処理の種類に適切であるように、装置(特に、従来の市販基板処理装置)を使用して実装することができる。モジュール101、102、および103は、基板および/または工程の特定の特性に応じて、修正および/または追加を伴って実装することができる。
基板は、工場インターフェース104とも呼ばれるシステムインターフェース104を介して、システム100に進入およびそこから退出する。単一基板をシステム100で一度に処理することができ、または複数の基板をバッチで一度に処理することができる。システムインターフェース104は、基板をシステム100の内外に移動させる、基板ハンドラ104a(例えば、ロボットを使用して実装することができる)を含む。基板をシステム100の内外に移動させることを促進するために、システムインターフェース104は、基板搭載ステーション104bおよび基板撤去ステーション104c(それぞれ、正面開口式一体型ポッド(FOUP)搭載ステーション104bおよびFOUP撤去ステーション104cとも呼ばれる)を含む。
処理され、システム100から除去された基板が、基板ハンドラ104aによって基板撤去ステーション104c上に配置された後(別の場所への最終的な移動のために)、以前に基板搭載ステーション104bに配置されていた新しい基板は、基板ハンドラ104aによって基板搭載ステーション104bから取り出され、処理のためにシステム100に入れられる。システムインターフェース104(基板ハンドラ104a、基板搭載ステーション104b、および基板撤去テーション104cを含む)は、当業者に公知の基板を処理する従来の装置および方法を使用して実装することができる。1つ以上の代替実施形態のシステム100は、複数のシステムインターフェースを含むことができ、そのそれぞれは、上記のように構築され、動作することができる。
いったんシステム100の中に入ると、システム100の異なるモジュール101―103の間でシステム100によって処理される基板を移動させるために、基板取扱システム105を使用することができる。システムインターフェース104の基板ハンドラ104aのように、基板取扱システム105は、例えば、1つ以上のロボットを使用して実装することができる。モジュール101、102、および103が湿式および乾式処理モジュールの両方を含む場合には、基板取扱システム105は、基板を乾式処理モジュールおよびシステムインターフェース104の内外に移動させ、乾燥モジュールから取り出すための乾式基板ハンドラ、および基板を湿式処理モジュールの内外に移動させ、乾燥モジュールに入れるための湿式基板ハンドラといった、少なくとも2つの種類の装置を含む。基板取扱システム105は、当業者に公知の基板を処理する装置および方法を使用して実装することができる。
基板がシステムインターフェース104を通してシステム100の内外に移動させられている時以外は、システム100は、外部環境から遮断される。システム100によって行われる処理に応じて、前処理モジュール101、処理モジュール102、および後処理モジュール103の外側にあるシステム100内の環境(便宜上、以下「システム環境」と呼ばれる場合がある)は、大気圧で維持し、減圧で保持し、および/または加圧する(すなわち、大気圧を上回る圧力で保持される)ことができる。同様に、システム環境は、システム100の外側の環境の周囲温度で、または周囲温度よりも高い、または低い温度で維持することができる。
さらに、システム環境のガス組成を所望に応じて制御することができる。例えば、システム環境は、周囲空気(典型的には、外部環境からの汚染を低減するように制御される)となり得る。システム環境はまた、全体または一部において、1つまたは複数の規定のガスを含むように制御することができ、例えば、半導体ウエハを処理するために使用されるシステムでは、システム環境は、窒素または不活性ガスとなるように構成することができる。システム環境はまた、1つまたは複数の特定ガスを除外するように制御することができ、例えば、システムにおいて処理される基板(またはその上に形成される材料)の酸化の発生を低減するように、システム環境から酸素を除外することができる。
代替実施形態のSPSは、単一ウエハまたはウエハの単一バッチを処理するために使用されるモジュールの種類のそれぞれのうちの複数を含むことができる。したがって、SPSの複数バージョンは、単一システムとして並列に動作することができる。このことは、SPSによって処理される基板の処理量を向上させることができる。このことはまた、システムのモジュールのうちの1つ以上がある期間にわたって非稼働となった時に(例えば、予防保守、修理等のために)、システム可用性を維持することができるように、冗長性を追加することもできる。
上記のSPSは、一実施例として提示され、他の数の処理モジュールを含むシステムを使用することができる。さらに、上記のもの以外の処理モジュールの種類を使用することができる。一部の処理システムでは、基板をシステムの内外に移動させるための基板ハンドラの代わりに、基板の手動搭載および撤去が使用されてもよい。
上記のSPS100は、1つ以上のモジュール(構成要素とも呼ばれる)および/または単一基板上の領域を組み合わせて処理するための方法を含むことができる。概して、一連の領域は、基板上の1つ以上の領域に処理材料を送達すること、および/または領域を修飾することによって、組み合わせて処理される。一実施形態の基板上の領域は、所定の領域、および基板の処理中に、および/またはその結果として識別される領域を含むが、それらに限定されない。
図2は、一実施形態下の組み合わせ工程順序統合のフロー図である。実施形態は、分析のために所望の工程を行う処理ツール(有効な単位工程をまとめて行う不連続単位モジュールから成る統合ツールであってもよく、またはそうでなくてもよい)を利用してもよい。一実施形態では、処理ツールは、IC製造で使用される直径300mmのウエハ等の単一モノシリック基板に含有される独特の領域内で、離散化された方法で工程を行うことができる。基板は、システム200に提供され、離散化され、好ましくは、単離された方法で(直列、並列、または直列・並列モードのいずれかで)処理され、それにより、基板の少なくとも2つの領域は、互いとは異なって処理される210。組み合わせ方法で処理される基板は、少なくとも1つの工程または工程ステップによる従来の方法で、随意に、先に220、および/または後に230処理することができ、それにより、基板全体または実質的に全体に近い基板が、同じ処理条件を受ける。このことは、記載された組み合わせ処理/組み合わせ工程順序統合アプローチが、端末デバイス、集積回路等を構築するために必要とされる工程フローの所望の区分で使用されることを可能にする。
生成されるデバイスまたはデバイスの部分等の処理された領域は、抵抗、線抵抗、静電容量等を介した収率等の性質に対するパラメトリック試験、および/または応力移動、エレクトロマイグレーション、バイアス熱応力、時間依存絶縁破壊等の性質に対する信頼性試験、および当業者に公知の関連試験等の分析のための従来の方法を使用して、着目の性質について試験することができる240。処理された領域は、同時に、連続して、または並列・直列モードで試験することができ、第1の複数の領域が同時に試験され、それに続いて、第2の複数の領域が同時に試験される。試験240は、随意に、組み合わせ工程順序統合に対する方法論の1つ以上の代替実施形態で行われる。
一実施形態の組み合わせ工程順序統合は、1つ以上の工程を行う、本明細書で部位単離処理ツールと呼ばれる(部位単離リアクタ(SIR)とも呼ばれる)処理ツールを使用する。一実施形態では、部位単離処理ツールは、基板の独特の領域内で、離散化され、単離された方法で(直列、並列、または直列・並列モードのいずれかで)基板を処理する(例えば、基板の少なくとも2つの領域は、互いとは異なって処理される)。本明細書に記載のように、一連の領域を処理する際に、基板上の領域(所定の領域を含む)に処理材料を送達することができ、および/または、任意の数の従来の処理工程または順序と組み合わせて任意の数の部位単離処理工程または順序を使用して、領域(所定の領域を含む)を修飾することができる。
例えば、本明細書に記載の組み合わせ工程順序統合の下での方法は、蒸着、パターニング、エッチング、清掃、平坦化、埋込、および処置を含む群から選択される、少なくとも1つの第1の工程から基板を受容する。方法は、基板の少なくとも1つの領域を、基板の少なくとも1つの他方の領域とは異なって処理することによって、処理された基板を生成する。処理は、少なくとも1つの領域を修飾するステップを含み、修飾するステップは、物理的修飾、化学的修飾、電気的修飾、熱的修飾、磁気的修飾、フォトニック修飾、および光分解修飾のうちの少なくとも1つを含む。処理は、基板上で少なくとも一連の異なって処理された領域を形成する。一実施形態では、上記の処理は、材料、処理条件、工程順序、工程順序統合、および工程順序条件のうちの少なくとも1つを使用して修飾するステップを含む。1つの他の実施形態では、上記の処理された基板は、蒸着、パターニング、エッチング、清掃、平坦化、埋込、および処置を含む群から選択される、少なくとも1つの追加工程を受ける。
別の実施例では、本明細書に記載の組み合わせ工程順序統合の下での方法は、基板の少なくとも1つの領域を、基板の少なくとも1つの他方の領域とは異なって処理することによって、処理された基板を生成する。処理は、少なくとも1つの領域を修飾するステップを含み、修飾するステップは、物理的修飾、化学的修飾、電気的修飾、熱的修飾、磁気的修飾、フォトニック修飾、および光分解修飾のうちの少なくとも1つを含む。処理は、基板上で少なくとも一連の異なって処理された領域を形成する。方法は、蒸着、パターニング、エッチング、清掃、平坦化、埋込、および処置を含む群から選択される少なくとも1つの追加工程に処理された基板を提供することによって、継続する。一実施形態では、上記の処理は、材料、処理条件、工程順序、工程順序統合、および工程順序条件のうちの少なくとも1つを使用して修飾するステップを含む。
図3は、一実施形態下の、部位単離処理および/または従来の処理を含む、組み合わせ工程順序統合の工程フロー300である。本明細書の実施形態下の処理順序の一実施例は、次のとおりである。従来の工程Nを使用して基板を処理し、次いで、部位単離工程N+1を使用して基板を処理し、次いで、部位単離工程N+2を使用して基板を処理し、次いで、従来の工程N+3を使用して基板を処理し、次いで、E試験(例えば、電気的試験)を行う。本明細書の実施形態下の処理順序の別の実施例は、次のとおりである。部位単離工程Nを使用して基板を処理し、次いで、部位単離工程N+1を使用して基板を処理し、次いで、従来の工程N+2を使用して基板を処理し、次いで、部位単離工程N+3を使用して基板を処理し、次いで、E試験を行う。本明細書の実施形態下の処理順序のさらに別の実施例は、次のとおりである。部位単離工程Nを使用して基板を処理し、次いで、従来の工程N+1を使用して基板を処理し、次いで、部位単離工程N+2を使用して基板を処理し、次いで、従来の工程N+3を使用して基板を処理し、次いで、E試験を行う。工程フロー300に従って、種々の他の処理順序を達成することができる。
したがって、組み合わせ工程順序統合は、例えば、試験金型および/または意図した集積回路を含有する実際の製品金型となり得る、複数の金型304を含む、金型配列を備える半導体ウエハ302を生成する。前述の組み合わせ工程順序統合の方法論を使用して、ブランケットウエハ、パターンウエハ、デバイス、機能チップ、機能デバイス、試験構造、半導体、集積回路、フラットパネルディスプレイ、光電子デバイス、データ記憶デバイス、磁気電子デバイス、磁気光学デバイス、分子電子デバイス、太陽電池、フォトニックデバイス、パッケージ化デバイスを処理および/または生成することができる。組み合わせ工程順序統合は、全体的な工程フローの任意の所望の区分および/または部分に適用することができる。必要および/または所望に応じて、工程フロー内の各工程ステップおよび/または一連の工程ステップの後に、電気的試験を含む特性化を行うことができる。
SPSの実施形態は、基板上の1つ以上の所定の領域に処理材料を送達し、いくつかの異なる技術を使用して、送達された材料を反応させる。例えば、処理材料は、例えば、溶液を用いた合成技術、光学物質技術、重合技術、テンプレート指向合成技術、エピタキシャル成長技術を使用して、ゾル・ゲル法によって、熱、赤外線、またはマイクロ波加熱によって、焼成、焼結、または焼鈍によって、水熱法によって、光束法よって、溶媒の蒸発を通した結晶化によって等、反応させることができる。着目の処理材料を反応させるために使用することができる、他の有用な反応技術が、当業者にとって容易に明白となるであろう。
基板の領域は互いとは独立して処理されるため、異なる領域における処理条件を独立して制御することができる。したがって、処理材料量、反応溶媒、処理温度、処理時間、処理圧力、反応物が急冷される速度、処理材料の蒸着順、工程順序ステップ等は、基板上の領域によって変動させることができる。したがって、例えば、材料を探索する時、第1および第2の領域に送達される処理材料は、同じであるか、または異なり得る。第1の領域に送達される処理材料が第2の領域に送達される処理材料と同じである場合、同じ、または異なる濃度のいずれかで基板上の第1および第2の領域にこの処理材料を提供することができる。これは、第1および第2の領域等に送達される追加処理材料にも当てはまる。第1および第2の領域に送達される処理材料と同様に、第1および第2の領域に送達される追加処理材料は、同じであるか、または異なり得て、同じである場合、同じ、または異なる濃度のいずれかで基板上の第1および第2の領域に提供することができる。
さらに、基板上の所与の所定領域内で、処理材料を均一または勾配方式のいずれかで送達することができる。同じ処理材料が同一濃度で基板の第1および第2の領域に送達される場合には、領域が処理される条件(例えば、反応温度、反応間等)を領域によって変動させることができる。変動させることができるパラメータは、例えば、材料量、溶媒、工程温度、工程時間、工程を実行することができる圧力、工程が行われる雰囲気、工程が急冷される速度、材料が蒸着される順番等を含む。変動させることができる他の処理パラメータが、当業者に明白となるであろう。
さらに、一実施形態は、ICチップ上の領域の異なる部分を表すために、誘電材料表面および導電性表面等の、異なる表面を有する第1および第2の基板の両方の上の対応する領域に、ほぼ同一の濃度の実質的に同じ処理材料を送達することによって、材料の少なくとも2つの異なる配列を形成し、その後、基板上の処理材料に第1の一式の工程条件を受けさせるステップを提供する。この方法を使用して、種々の基板表面上の処理パラメータまたは材料の効果を検討し、順に、最適化することができる。
個々の領域の処理で使用される処理材料はしばしば、隣接領域へ移動するのを防止されなければならない。最も簡単には、このことは、種々の処理材料が領域間で相互拡散できないように、基板上の領域間の十分な量の空間を残すことによって確実にすることができる。さらに、このことは、処理中に基板上の種々の領域間の適切な障壁を提供することによって確実にすることができる。1つのアプローチでは、機械的デバイスまたは物理的構造が基板上の種々の領域を画定する。例えば、個々の領域中の材料が隣接領域へ移動するのを防止するために、壁または他の物理的障壁を使用することができる。この壁または物理的障壁は、合成が完了した後に除去されてもよい。当業者であれば、時には、一連の材料を選抜する前に、壁または物理的障壁を除去することが有益であり得ることを理解するであろう。
他の実施形態では、処理は、物理的に基板に触れる障壁を必要とせずに達成されてもよい。例えば、修飾域は、通常、基板上の着目の不連続領域よりもサイズが小さい、および/またはそれと同等であるため、領域を部位指定可能な方式で処理するために、レーザ、放射ランプ、UV放射源、他の「点」光源を使用することができる。さらに別の実施形態では、所望の領域および/または領域の部分への処理を本質的に選抜および/または限定するために、物理的障壁を使用することができ、物理的障壁は、基板に物理的に触れない。例えば、ある領域および/または領域の部分への処理を本質的に阻止および/または制限するために、物理的障壁を使用することができる。例えば、PVD(すなわち、スパッタリング)または蒸発源等からの蒸気流動を阻止するために、マスクまたはシャッタ等のスクリーンを使用することができる。ある放射を透明領域に通して、基板上の規定領域での処理を達成するために、不透明対透明のマスクを使用することができる。さらに別の実施形態では、ガス状試薬を排除するか、またはそのような試薬の濃度を限定して、ある領域からそのような試薬の効果を効果的に選別して除くために、アルゴン(Ar)等の、好ましくは不活性ガスのガス流を使用することができる。このようにして、基板と連通している物理的障壁の必要なしで、基板上の特定の領域を異なって処理することができる。このアプローチは、特に、原子層蒸着およびその種々の形態(例えば、イオン、ラジカル、およびプラズマ誘起/強化)等の、連続気相真空を用いた表面運動過程の影響を受けやすい。
一実施形態のSPSは、基板全体にわたる均一な処理および基板の1つ以上の不連続領域の個別独立処理の両方を達成するように構成される、処理ツールを含む。図2および3を参照すると、上記の組み合わせ工程順序統合の工程フローの下での動作を含む、本明細書に記載の本明細書に記載の処理ツールは、上記のSPS100および/または図1をすると、上記のSPS100の1つ以上のモジュールのような、基板処理システムの構成要素となり得る。図3の組み合わせ工程順序統合の工程フロー300は、例えば、SPS100の処理モジュール102(図1)で具体化することができる。同様に、組み合わせ工程順序統合の工程フロー300は、別の実施例として、SPS100の1つ以上の処理モジュール101、102、および103(図1)にわたって具現化することができる。
一実施形態のSPSは、フルウエハ処理および組み合わせ処理の両方を支援する、統合処理ツールを含む。図4は、一実施形態下の、本明細書で多重チャネル部位単離リアクタ(MCSIR)400と呼ばれる、統合処理ツール400のブロック図である。MCSIR400は、以下で詳細に記載されるように、フルウエハ処理モジュール405および部位単離処理モジュール(SIPM)409を含む。MCSIR400は、バルク化学物質分配システムを組み込んで、工程順序を達成するために必要な化学原料401を提供し、ならびに、混合容器の形をした化学的混合および急冷ハードウェアおよび分配多岐管を提供して、任意の組成の化学溶液を動的に混合し、ならびに任意の継続時間にわたって任意の順番でリアクタを通して化学物質を急冷させる能力を提供する。MCSIR400は、コンピュータ化されたハードウェアコントローラ402を使用して制御され、一実施形態の同じコントローラは、フルウエハリアクタおよび部位単離リアクタの両方での処理を達成する。ウエハは、工場インターフェース404を使用して、MCSIR400を通して急冷される。フルウエハおよび部位単離リアクタは、全ての制御側面において同程度である。MCSIR400の各リアクタまたはチャネルは、本明細書に記載のような複合湿式/蒸気工程順序の実施を可能にするように構成される。
概して、フルウエハ処理モジュール405は、フルウエハリアクタに連結する処理多岐管を含む。処理多岐管は、化学物質401に連結され、フルウエハリアクタに化学物質401を供給または送達するように構成される。フルウエハリアクタは、送達された化学物質を使用して、ウエハ全体または基板表面(例えば、8インチウエハ、12インチウエハ等)にわたって均一な処理を達成するように構成される。
対照的に、SIPM409は、複数のチャネルまたは工程経路を使用して、ウエハにわたる複数の不連続領域(例えば、28個の領域)の独立処理を可能にする、部位単離プロセッサである。SIPM409のこの実施例は、この実施例を明確にするために、2つの工程経路またはチャネルのいずれかによって供給されている単一部位単離リアクタを示すが、MCSIRは、任意の数の部位単離リアクタ、および各リアクタに接続される任意の数の工程経路を含むことができる。
SIPM409は、概して、一式の第1の多岐管(例えば、混合容器(MV)1多岐管)またはその集合体を含む送達システムを使用して、化学物質401を供給または分配する。各第1の多岐管の産出は、混合容器(例えば、混合容器1等)に連結される。混合容器多岐管は、混合容器のそれぞれに対する任意の比率でバルク化学物質の混合を可能にし、次いで、混合された化学溶液のための一時貯蔵部としての機能を果たす。
各混合容器の産出は、一式の第2の多岐管のうちの1つ以上に供給する(例えば、処理チャネル1部位多岐管、処理チャネル2部位多岐管)。各一式の第2の多岐管の産出は、部位単離リアクタに供給する。一式の第2の多岐管は概して、一式のフローセル中の2つの経路(例えば、チャネル1、チャネル2)のいずれかを通した混合容器溶液および/またはバルク化学物質の順序付けを可能にする。フローセルは、部位単離リアクタの上面を備え、リアクタスリーブは、リアクタの側壁を備える。処理された基板は、リアクタの底面を備える。各部位単離リアクタは、本明細書に記載のように基板の専用領域の個々の処理を達成する。
MCSIR400の実施例は、フルウエハリアクタに対する工程経路に混合容器を含まない。しかしながら、SIPMのそれと同様の方法でフルウエハ処理モジュールを構成するために、フルウエハリアクタ工程経路に混合容器を含むことが可能であり、時には望ましい。
図5は、一実施形態下のMCSIRのSIPM500である。SIPM500は、複数の並列リアクタ中の反応を同時に制御することによって、基板の異なる領域の同時処理を管理または制御する。リアクタのそれぞれは、基板の特定の領域(例えば、ウエハ)の最も近くに位置する。リアクタ制御は、いくつか例を挙げると、試薬流、試薬混合、試薬送達、試薬および/またはリアクタ温度、および/または試薬pHを制御するステップを含む。
SIPM500は、第1の混合アセンブリ514に連結される第1の分注アセンブリ512を含む。第1の分注アセンブリ512は、N本の混合容器多岐管5121―512Nを含み、N本の混合容器多岐管は、任意の数となり得る。一実施形態の第1の分注アセンブリ512は、28本の混合容器多岐管を含むが、SPSは、この数の混合容器多岐管に限定されず、任意の数の混合容器多岐管を含むことができる。混合容器多岐管のそれぞれの入力は、化学物質501のうちの1つ以上に連結される。一実施例として、一実施形態の混合容器多岐管は、8つの入力を含み、入力のそれぞれは、化学物質501の異なる1つのものに接続される。しかしながら、混合容器多岐管は、8つの入力に限定されず、各入力は、任意の他の多岐管入力からの異なる成分への接続に限定されない。加えて、分注アセンブリ512の全ての混合容器多岐管は、同じ構成であることに限定されない。さらに、他の構成要素(例えば、弁、調節器、ミキサ、ポンプ等)を、成分と混合容器多岐管との間でインライン接続することができる。
第1の混合アセンブリ514は、N本の混合容器5141―514Nを含み、N本の混合容器は、任意の数となり得る。一実施形態の第1の混合アセンブリ514は、28個の混合容器を含むが、SPSは、この数の混合容器に限定されず、任意の数の混合容器を含むことができる。混合容器のそれぞれの入力は、第1の分注アセンブリ512の混合容器多岐管の産出に連結される。一実施例として、一実施形態の混合容器は、第1の分注アセンブリ512の混合容器多岐管の産出に連結される、1つの入力を含む。さらに具体的な実施例として、第1の混合容器5141の入力は、第1の混合容器多岐管5121の産出に接続される。しかしながら、混合容器は、1つの入力に限定されず、各入力は、第1の分注アセンブリ512の1本の混合容器多岐管への接続に限定されない。
SIPM500はさらに、第2の混合アセンブリ524に連結される第2の分注アセンブリ522を含む。第2の分注アセンブリ522は、N本の混合容器多岐管5221―522Nを含み、N本混合容器多岐管は、任意の数となり得る。一実施形態の第2の混合アセンブリ522は、28個の混合容器多岐管を含むが、SPSは、この数の混合容器多岐管に限定されない。混合容器多岐管のそれぞれの入力は、化学物質501のうちの1つ以上に連結される。一実施例として、かつ上記のように、一実施形態の混合容器多岐管は、8つの入力を含み、入力のそれぞれは、化学物質501の異なる1つのものに接続される。しかしながら、混合容器多岐管は、8つの入力に限定されず、各入力は、任意の他の多岐管入力からの異なる成分への接続に限定されない。加えて、他の構成要素(例えば、弁、調節器、ミキサ、ポンプ等)を、成分と混合容器多岐管との間でインライン接続することができる。
第2の混合アセンブリ524は、N本の混合容器5241―524Nを含み、N本の混合容器は、任意の数となり得る。一実施形態の第2の混合アセンブリ524は、28個の混合容器を含むが、SPSは、この数の混合容器に限定されない。混合容器のそれぞれの入力は、第1の分注アセンブリ522の混合容器多岐管の産出に連結される。一実施例として、一実施形態の混合容器は、第1の分注アセンブリ522の混合容器多岐管の産出に連結される、1つの入力を含む。さらに具体的な実施例として、第1の混合容器5241の入力は、第1の混合容器多岐管5221の産出に接続される。しかしながら、混合容器は、1つの入力に限定されず、各入力は、第1の分注アセンブリ522の1本の混合容器多岐管への接続に限定されない。
SPSはモジュール式であるため、SPSの代替実施形態は、異なる数の分注アセンブリおよび/または混合アセンブリを含むことができる。例えば、一代替実施形態のSPSは、2つの追加分注アセンブリを含むことができ、各追加分注アセンブリが追加混合アセンブリに連結される。別の実施例として、一代替実施形態のSPSは、上記の第1の分注アセンブリ512および第1の混合アセンブリ514のみを含み、第2の分注アセンブリ522および第2の混合アセンブリ524を含まない。さらに、代替実施形態のSPSは、上記よりも少ない、または多い数の混合容器多岐管および/または混合容器を含むことができる。加えて、代替実施形態は、混合容器多岐管および/または混合容器の異なる構成を含み、例えば、2つの混合容器多岐管を単一混合容器に連結することができる。
SIPM500は、第3の分注アセンブリ532を含む。第3の分注アセンブリ532は、N本の部位多岐管5321―532Nを含み、N本の部位多岐管は、任意の数となり得る。一実施形態の第3の混合アセンブリ532は、28本の部位多岐管を含むが、SPSは、この数の部位多岐管に限定されない。一実施形態の各部位多岐管は、8つの入力を含むが、そのように限定されない。各部位多岐管の第1の入力は、第1の混合アセンブリ514の混合容器の産出に接続され、各部位多岐管の第2の入力は、第2の混合アセンブリ524の混合容器の産出に接続される。したがって、さらに具体的な実施例として、第3の分注アセンブリ532の第1の多岐管5321を使用して、第1の部位多岐管5321の第1の入力は、第1の混合アセンブリ514の第1の混合容器5141の産出に接続され、第1の部位多岐管5321の第2の入力は、第2の混合アセンブリ524の第1の混合容器5241の産出に接続される。第3の分注アセンブリ532の各部位多岐管の残りの入力のうちの1つ以上は、SIPM500の即時処理動作に適切であるように、化学物質501のうちの1つ以上に接続される。しかしながら、各部位多岐管の残りの入力は、代替実施形態では、他の成分源に接続することができる。他の構成要素(例えば、弁、調節器、ミキサ、ポンプ等)を、成分と第3の分注アセンブリ532との間でインライン接続することができる。
第3の分注アセンブリ532の産出は、フローセルアセンブリ542に連結される。上記のように基板に最も近いフローセルアセンブリ542は、N本のフローセル5421―542Nを含み、N本のフローセルは、任意の数となり得る。一実施例として、一実施形態のフローセルアセンブリ542は、28個のフローセルを含むが、SPSは、この数のフローセルに限定されない。一実施形態の各フローセルは、1つの入力を含むが、そのように限定されない。各フローセルの入力は、第3の分注アセンブリ532の部位多岐管の産出に連結される。例えば、一実施形態のフローセルは、第3の分注アセンブリ532の部位多岐管の産出に接続される、1つの入力を含む。さらに具体的な実施例として、第1のフローセル5421の入力は、第3の分注アセンブリ532の第1の部位多岐管5321の産出に接続される。フローセルの内部は、流量を調節するように構成または再構成することができ、例えば、内部空洞は、任意の形状となり得て、および/または内部の表面外形は、流体の速度を制御するように変動させることができる。他の構成要素(例えば、弁、調節器、ミキサ、ポンプ等)を、第3の分注アセンブリ532とフローセルアセンブリ542との間でインライン接続することができる。
したがって、フローセルアセンブリ542は、基板の近接領域上で部位単離処理を達成するように構成される部位単離リアクタを形成する、一連の並列セルを含む。部位単離処理は、上記のように、フローセルアセンブリ542の各セルまたはリアクタに送達される成分または組成を備える処理を含む。
上記のSIPM500の実施形態は、第1の分注アセンブリ512の混合容器多岐管、第2の分注アセンブリ522の混合容器多岐管、第3の分注アセンブリ532の部位多岐管、第1の混合アセンブリ514および第2の混合アセンブリ524の混合容器、およびフローセルアセンブリ542のフローセルのそれぞれ同等数Nを含む。しかしながら、上記のように、代替実施形態は、処理動作に適切であるように、第1の分注アセンブリ512の混合容器多岐管、第2の分注アセンブリ522の混合容器多岐管、第3の分注アセンブリ532の部位多岐管、第1の混合アセンブリ514および第2の混合アセンブリ524の混合容器、およびフローセルアセンブリ542のフローセルのうちの1つ以上の異なる数を含むことができる。
コントローラ502は、上記のようなSIPM500の種々の構成要素に連結され、処理動作を制御する。SIPM500は概して、第1の混合アセンブリ514および第2の混合アセンブリ524のそれぞれにおいて種々の組み合わせの組成を形成するように、複数の成分(例えば、化学物質、組成等)の大域的混合を含む、処理動作を提供する。この混合レベルでの組成は、追加成分を組成で順序づけることができる時点で第3の分注アセンブリ532に送達され、次いで、得られる組成は、フローセルを介して、基板上のN個の並列部位に送達される。液体、ガス、および/またはプラズマ試薬を支援するSIPM500は、いくつか例を挙げると、化学組成の制御、化学物質の順序付け、温度、pH、インライン混合、および局所環境制御を含む、制御された条件下で得られる組成を提供する。したがって、SIPM500は、並列して多数の基板部位または領域への試薬の連続流を達成するような方式で、(種々の状態を有する)種々の試薬の流動の制御を可能にする。したがって、SIPM500は、最小の一式の流動制御機器を使用して、複数の流動、流動力学、および複数のチャネルを管理しながら、オペレータが基板の異なる領域における並列処理を達成することを可能にする。
上記のSIPM500は、モジュール式であり、任意の数の上記の構成要素のいずれかを含むことができる。処理動作を支援するように、必要に応じて、構成要素(例えば、分注アセンブリ、混合容器多岐管、部位多岐管、混合アセンブリ、混合容器、フローセルアセンブリ、フローセル)をSIPM500に追加または削除することができる。さらに、構成要素の構成は、任意の数の構成を含み、上記の構成に限定されない。例えば、フローセル形状因子を変更する(例えば、円形の代わりに正方形)ステップは、フローセルの最上板のみを変更するステップを伴う。したがって、SPSは、構成可能性および異なる種類の処理を取り扱う能力の点で融通性がある。
図6は、一実施形態下のSIPM500の構成要素の一部(まとめてSIPM600と呼ばれる)の間の連結を示す。SIPM600は、8つの入力A―Hを含む、第1の混合容器多岐管6121を含む。入力のそれぞれは、処理動作の間に成分を選択的に受容するために、成分に連結される。成分と第1の混合容器多岐管6121との間の接続の一実施例として、多岐管6121の入力Aは、ポンプ604を介して化学物質Aに接続される。ポンプ604は、容器を充填するために使用される定量ポンプであるが、そのように限定されない。代替実施形態は、ポンプを含まなくてもよく、インラインポンプを含んでもよく、および/または異なる種類のポンプを含んでもよい。一実施形態のポンプ604は、各材料の体積比率の精密制御を可能にする定量ポンプを含むが、そのように限定されない。他の構成要素(例えば、弁、調節器、ミキサ、ポンプ等)を、成分(例えば、化学物質A)を保持する容器とポンプ604との間、および/またはポンプ604と多岐管入力Aとの間でインライン接続することができる。同様の方法で、他のMCSIR構成要素および/または成分または化学物質(図示せず)を第1の混合容器多岐管6121の入力A―Hに連結することができる。第1の混合容器多岐管6121は、上記のような分注アセンブリの構成要素となり得るが、そのように限定されない。
SIPM600は、第1の混合容器多岐管6121の産出に接続される入力を有する、混合容器6141を含む。したがって、混合容器6141は、第1の混合容器多岐管6121から流される成分を受容する。一実施形態の混合容器6141は、容器6141中で組成が生成されるパラメータであって、いくつか例を挙げると、圧力、温度、およびpHを含むパラメータの制御を可能にする。混合容器6141は、受容した成分を撹拌する、またはかき混ぜるためのデバイスを含むことができる。混合容器6141は、混合容器6141から組成を流すように機能する流動機構606を含むか、あるいはそれに連結または接続される。一実施例として、流動機構606は、組成を工程608に方向付けるか、または廃棄物610への工程から離して方向付けるための接続を含む。他の経路指定(図示せず)が可能である。混合容器6141は、上記のような混合アセンブリの構成要素となり得るが、そのように限定されない。
SIPM600は、8つの入力1―8を含む、部位多岐管6321を含む。入力1の1つは、混合容器6141の組成産出MIX1を受容するように接続される。他の成分および/または組成を受容するように、部位多岐管6321の他の入力を接続することができる。例えば、上記のように、別の多岐管および/または混合容器の組成産出MIX2を受容するように、部位多岐管6321の別の入力2を接続することができる。さらに、部位多岐管6321の他の入力または残りの入力3―8を1つ以上の他の成分(図示せず)に連結することができる。
部位多岐管6321の産出は、基板650の領域に最も近いフローセル6421に接続される。SIPM600は、インライン混合を提供するために、部位多岐管6321とフローセル6421との間にオプションのインラインミキサ660を含む。フローセル6421は、多岐管6321から組成を受容し、処理動作中に基板領域を処理するために組成を使用する。フローセル6421は、廃水(廃棄物)フローセル6421から離して方向付ける、廃棄物ライン670に接続される。廃棄物ライン670は、フローセル6421から処理廃水を除去するための真空多岐管または弁(図示せず)を含むことができる。フローセル6421は、上記のようなフローセルアセンブリの構成要素となり得るが、そのように限定されない。コントローラ602は、SIPM600の構成要素に接続され、下記のように処理動作を制御する。
SIPM600の一実施形態は、セルを通る流動を特性化するよりもむしろ、廃棄物ラインを通る流動を特性化するために、廃棄物ラインに流量計FMを含む。これは、多数の流量コントローラの必要性を排除し、代わりに、単一溶媒システムに対する流量コントローラを1つしか必要としない。複数の流量コントローラは、複数の溶媒システム(例えば、酸性、塩基、および有機溶媒とともにシステムで使用される、3つの流動コントローラ)とともに使用される。
分注アセンブリ、混合容器多岐管、混合アセンブリ、混合容器、フローセルアセンブリ、およびフローセルを含む、SIPMの構成要素は、上記のように、数および構成が変動する。これらの構成要素は、いくつか例を挙げると、弁、管類または導管、分注ポンプ、流量調節器、圧力調節器、およびコントローラを含む、種々の他の構成要素および/または材料を使用して、連結または接続される。これらの他の構成要素および/または材料は、構成および処理動作に適切であるように、当該分野で公知の構成要素および/または材料を含む。
上記のSIPMの構成は、バルク化学物質が、混合容器多岐管を通して混合容器に、および/または部位多岐管を通して部位単離リアクタに方向付けられることを可能にする。混合容器に方向付けられる場合、制御システムは、任意の組成の溶液の混合を可能にする。溶液の組成は、混合容器のそれぞれにわたって独立して変動させることができる。混合容器は、得られる溶液の撹拌、加熱、およびpH制御を可能にするような方式で実装される。加えて、得られる溶液のpHおよび温度は、フローセルごとに監視することができる。さらに、部位多岐管を通る各溶液の流速は、独立して可変である。
上記のように、各多岐管(例えば、混合容器多岐管、部位多岐管)は、いくつかの入力または弁(例えば、X個の入力、Xは任意の数1、2、・・・)を含み、各弁が異なる化学物質源に連結または接続される。化学物質源は、例えば、液体、ガス、または真空であってもよい。多岐管は、多岐管入力において受容される化学物質が共通経路を通って多岐管を退出するように、構成される。その結果、多岐管は、X:1多岐管と呼ばれる。化学物質は、多岐管を通して個別に、または組み合わせで順序付けることができる。組み合わせで順序付けられる時、均質な化学物質溶液を確保するために、インラインミキサを使用することができる。化学物質の逆流、およびその結果、望ましくない混合が発生しないことを確実にするように、逆止め弁もまた、X個の化学物質のそれぞれの入口に組み込むことができる。
フローセルは、SIPMの工程の流動力学を制御する。順序中の化学変化の間の死容積を低減するために、一実施形態のフローセルは、独立工程およびセル本体に直接組み込まれる廃棄物経路を含む。図7は、一実施形態下の独立した工程P1/P2および廃棄物W経路を含む、フローセル700のブロック図である。各フローセル経路は、弁V1―V4を組み込んで、工程および廃棄物流を制御する。これらの弁V1―V4の使用は、例えば、基板の処理のための化学物質を送達するために第2の経路P2が使用されている間に、フローセルの第1の工程経路P1が浄化されることを可能にする。この工程経路制御は、優れたタイミングの正確度を提供し、フローセルへの化学物質の非常に精密な順序付けを可能にする。
弁使用の一実施例として、フローセルの現在の工程ステップの弁構成は、弁V1を閉鎖および弁V2を開放させて、第1の経路から廃棄物産出へと浄化される第1の化学物質をもたらし、弁V4を開放および弁V3を閉鎖させて、工程産出を介してフローセルに提供される第2の化学物質をもたらす。現在の工程ステップの完了および次の工程ステップの開始時に、第1の経路P1の化学物質が工程産出を介して基板に即時に導入される一方で、第2の経路の化学物質が浄化され、続いて、工程順序の次の化学物質を使用して、第2の経路が準備されるような方法で、弁を切り替える、または再構成することができる。
一実施形態のフローセルは、リアクタから処理化学物質を回収および排出するように真空多岐管を含む。一実施形態の真空多岐管は、多岐管の定圧を維持するように雰囲気へと放出され、それにより流速の優れた予測可能性を提供するが、そのように限定されず、代わりに、システム構成に適切であるように、真空源または圧力源に連結され得る。
SIPMのフローセルは全て、フローセルが1つの単位としてまとめて上昇または下降されることを可能にする、固定具に接続される。基板に対するフローセルの垂直位置のこの制御は、リアクタ容積が動的に変更されることを可能にする。この機能の使用の一実施例は、フローセルを上昇させて静的バケットモード処理を促進し、次いで、フローセルを下降させて放射状流パターンを促進することである。
図4を参照して上記のように、フローセルが部位単離リアクタの上面を備える一方で、リアクタスリーブは、リアクタの側壁を備え、処理された基板は、リアクタの底面を備える。リアクタスリーブは、容易な有用性を提供するために使用される、不活性スリーブである。例えば、リアクタスリーブは、汚染された場合に、または化学的適合性に必要なスリーブ材料を備えるスリーブを提供するために、容易に交換することができる。スリーブは、種々の材料のうちの1つ以上を備えることができる、リアクタブロックによって固定される。リアクタブロックはまた、室温とは異なる処理温度を提供するように、および異なる工程に適切であるように、コントローラの制御下で自動的に加熱および/または冷却することもできる。
MCSIRはまた、処理を受ける基板を固定する、チャックまたは台を含む。チャックは、真空、静電気、および/または機械的咬持を含むがそれらに限定されない、基板を固定する種々の機構のうちの1つ以上を備えることができる。リアクタブロックと同様に、チャックもまた、コントローラの制御下で自動的に加熱および/または冷却することができる。チャックは、ロボットの効果的な使用を可能にして、リアクタアセンブリから基板を導入および回収するように、機械的に作動させることができる。
一実施形態のMCSIRの全ての構成要素を通る成分の流動制御は、MCSIRの接続にわたって圧力を変動させることによって達成される。それぞれ少なくとも1つの管類接続および/または1つ以上の精密開口部または弁を含むことができる、接続は、意図された工程順序の成分および成分パラメータについてMCSIRにわたって整合される。MCSIRの接続は、実際の使用前に較正され、較正曲線は、各接続に対するデータベースに記憶される。コントローラは、処理動作中に成分流を制御する際に、較正の情報を使用する。
MCSIRの基板処理は、上記のような基板の局所領域上で組み合わせ処理および従来のフルウエハ処理の並列統合を含む。MCSIRの実施形態は、上記のようにコントローラの制御下で処理動作を支援する(例えば、MCSIR400(図4)のコントローラ402、MCSIR500(図5)のコントローラ502、MCSIR600(図6)のコントローラ602)。コントローラは、ホストMCSIRの種々の構成要素の動作を制御するために種々のデータベースまたはテーブルの情報を使用する、1つ以上のプログラムまたはアルゴリズムを実行するプロセッサを含む。データベースまたはテーブル(図示せず)は、コントローラプロセッサに連結され、コントローラの構成要素となり得て、および/または、MCSIRおよび/またはホスト処理システムの他の構成要素の間に分配することができる。
一実施形態のコントローラは、工程順序の完全コンピュータ制御/自動化を提供する。しかしながら、リアクタのそれぞれは、ほとんどの処理パラメータに対して独立して制御することができるが、温度およびリアクタ容積等の一部の処理パラメータは、全部位に大域的である。異なるリアクタで異なる順序が使用され、グローバルパラメータが変更される場合、コントローラは、工程順序が全リアクタにわたって正しく実行するように、処理ステップの同期化を可能にする。工程同期化に加えて、コントローラは、工程ステップがシステム上で開始することを可能にする工程順序トリガを可能にし、温度等のパラメータの特定の標的値を満たす。これらの2つの能力は、それにより工程順序を実行することができる、正確度および精度をさらに向上させる。
基板処理動作を制御する一般的な実施例として、図8は、基板の混合モード処理のフロー図である。溶液は、多数の化学原料から生成される802。組成およびパラメータは、組み合わせ方式で変動され、複数の溶液の異なるものの間で独立して制御される。化学物質および溶液は、基板上に分注され804、分注するステップは、基板の表面全体上への化学物質の分注および複数の溶液の分注を統合するステップを含む。複数の溶液の分注は、分注される溶液のうちの1つ以上および基板の1つ以上のセットの領域の間で流動を独立して変動させるステップを含む。
MCSIRコントローラを使用して処理動作を制御する、さらに具体的な実施例が続く。動作は、概して、オペレータが順序を選択および/または設定し、順序に適切なライブラリを選択および/または設定すると、開始する。基板(例えば、ウエハ)が搭載され、ライブラリが事前に段階分けされる。次いで、選択された処理順序が実行される。選択された順序の実行後に、ウエハが撤去され、システムが洗浄される。
順序の設定は、成分または化学物質の順序付け、および関連パラメータを定義するステップを含む。化学物質の順序付けの定義は、例えば、化学物質の種類、流動時間、流速、装填、浸漬、洗浄時間、および工程温度のうちの1つ以上を含む。一実施形態のMCSIRの各フローセルを通る流速がほぼ同じ値である一方で、流速を直列方式で変動させることができる。流動時間、浸漬時間、および洗浄時間をMCSIRにわたって変動させることができる。順序付けは、例えば、上記のような1つ以上のインラインミキサを介した化学的混合か、あるいは本明細書に記載の他の混合技術または構成要素を含むことができる。
一実施形態の処理順序のためにライブラリ設定するステップは、混合物中の各化学物質の濃度、各溶液の温度およびpH、および各混合物の全体積(既定値は順序情報から提供される)のうちの1つ以上を設定するステップを含む。ライブラリの設定は随意である。
ウエハ搭載は、ウエハサイズを画定するステップを含む。ライブラリの事前段階化は、MCSIRの混合容器を通して順序付けるステップ、および規定成分の各構成要素の適切な量を追加または分注するステップを含む。混合容器内への個々の成分を測定するために、精密分注ポンプが使用される。+/−1%の正確度における10ミリメートル(ml)の送達は、一実施形態では約5秒かかる。MCSIRは、第1の成分と最後の成分の開始の間に事前に規定された時間間隔(例えば、1分)を伴う時差開始で、混合溶液に個々の成分を分注する。ライブラリの事前段階化は、段階あたりの期間(例えば、15分)の標的とされ、連続ウエハに対しては、ライブラリの事前段階化は、工程順序と並列に実行することができる。MCSIRの事前段階化は、精密な温度およびpH制御の条件下で行われ、工程順序に適切であるように、成分の統合混合を含む。
選択された工程順序の実行は、順序の開始、および選択された順序の全ての定義された工程の完了を含む。工程順序実行のデータは、オペレータまたは他のユーザによって規定されるように記録される。基板(例えば、ウエハ)は、工程順序の完了時に撤去される。基板の除去後、MCSIRは、処理廃水および/またはMCSIRの構成要素からの未使用成分を浄化するように洗浄される。次いで、MCSIRは、ガス(例えば、窒素)で加圧され、後続工程順序が開始されるまで加圧状態で保持される。
工程順序付けの一実施例として、MCSIRは、上記のSIPMを使用する基板の領域中の部位単離蒸着を含む、処理を支援する。ある材料の蒸着は、2つの化学物質が混合され、高温でウエハ上に分注されることを必要とする。蒸着は、静的またはバケットモードリアクタ中で完遂されなければならない。また、化学物質は、湿気に暴露されてはならない。最後に、化学物質は、混合されると高温で不安定であるが、混合されないと安定している。MCSIRは、この蒸着の既存の工程への効率的な統合を達成する際に重要である、この順序における各ステップのタイミングの精密制御を可能にする。以下の実施例で提供されるパラメータは、実施例として提供されるのみであり、MCSIRをこれらのパラメータ下での処理のみに限定することを目的としない。
上記のMCSIRを使用して、および上記の4―6に関連して蒸着を実行するためには、混合容器多岐管を通して混合容器の中へ化学物質Aを分注することによって、動作が開始する。化学物質Aの温度は、所望の工程温度に上昇され、化学物質Aが工程温度に到達すると、コントローラは、混合容器内への化学物質Bの分注を誘発する。また、2つの化学物質の機械的混合が混合容器中で開始される。
次いで、化学物質AおよびBの溶液の温度は、事前に規定された工程温度に上昇される。加熱サイクル中に、基板がインターフェースによってロボットアーム上へ移動させられ、基板チャックが工程温度に予熱される。基板が熱いウエハ上に搭載される点である工程温度に溶液が到達するまで、基板はロボット上にとどまる。ウエハは、定位置に作動され、溶液は、約10mmの高さにあるリアクタにより、基板上に分注される。
蒸着の終わりに、基板チャンクは活発に冷却され、反応溶液の排出と同時に、リアクタの高さは0.25mmに低減される。溶液を排出し、基板を冷却する際に、表面から残留化学物質を洗い流すために、流動モードで第2の溶液がリアクタに導入される。次いで、ウエハは、リアクタから回収され、ロボットインターフェースを介して返却される。
一実施形態のMCSIRは、セルアセンブリのリアクタと標的基板の1つ以上の領域との間のシールの使用を含む。一実施形態の密封システムおよび方法は、2つの部類のシールを含むことができる。第1の部類のシールが1つ以上の接触シールを含む一方で、第2の部類のシールは、密封流体を使用して形成される、流体力学的障壁の使用を含む。これらの密封システムのそれぞれは、2006年6月6日出願の米国特許出願番号11/448,369号に記載されている。
図9は、一実施形態下の、基板を固定することができる、台またはチャック904に噛合されるフローセルアセンブリ906を含む、MCSIR900を示す。MCSIR900は、浮動リアクタスリーブまたは壁910を含む。浮動リアクタスリーブ910は、セルアセンブリリアクタブロック906の各フローセル908中で浮動するか、または動的に位置付け可能となるように構成される。したがって、浮動スリーブ910を含むフローセル908の組み合わせは、局所表面との各リアクタ縁表面912(浮動スリーブ910によって形成される)の基板の個々の整合性を提供する、フローセル908を形成する。
リアクタブロック906のフローセル908内の各リアクタスリーブ910の整合性は、一実施形態では、Oリングであるがそれに限定されない、外部機構によって制御または提供することができる。フローセル908内の各リアクタスリーブ910の整合性はまた、スリーブ壁に直接統合される固定具型機構によって提供することもできる。リアクタスリーブ整合性機構のそれぞれを以下で詳細に記載する。各フローセル908での浮動スリーブ910の使用は、汚染されるか、あるいはリアクタでの連続使用に不適当となる場合がある、個々のリアクタ壁の交換を可能にする。さらに、浮動スリーブ910によって提供されるリアクタブロック906内の各フローセル908の浮動は、リアクタ構成要素のより大きい製造公差を可能にする一方で、シールが各リアクタに対して達成される高確率を依然として提供する。
一実施形態のシステムは、上記にように3次シールを提供するために真空を使用する。真空は、リアクタブロック906の中の、またはそれを通る、一連の真空チャネル900Vを介して提供される。真空は、処理された基板と接触して、3次シールによる効果的な密封を確実にするように構成される、面シール900FSと連動する。したがって、この面シール900FSは、真空を使用して、または代替として空気圧力を使用して、基板への周辺シールを確立する。
一実施形態の単離リアクタチャンバ908の外部にあるプレナム域を加圧することができる。加圧は、例えば、各単離リアクタチャンバ908外側の材料の漏出を防止するために使用される。また、プレナムを加圧し、次いで、経時的な圧力低下を測定することにより、浮動スリーブ910の密封性能の監視を可能にする。さらに、プレナムの加圧は、単離リアクタチャンバ908からの潜在的に毒性のある化合物の解放または制御されていない放出の見込みを防止または最小限化する。
上記の接触密封システムの代替案として、本明細書では流体力学的密封システムとも呼ばれる、第2の部類のシールは、フローセルアセンブリのリアクタ間に流体力学的障壁を形成することによって、リアクタ内容物を含有するために密封流体を使用する。流体力学的障壁は、1つ以上の従来の接触シールに取って代わる。
図10は、一実施形態下の流体力学的密封システム1000を示す。流体力学的密封システム1000は、いくつかの隣接するリアクタ1008AAおよび1008ABからフローセルアセンブリの各リアクタ1008を単離する1次格納部となるように構成される、流体力学的障壁を形成するために、密封流体1010を使用する。一実施形態の流体力学的密封システム1000はまた、基板の周辺の領域に面シール1000FSを含む。面シール1000FSは、基板1002のほぼ全域を封入し、反応物種の2次格納部を提供する。密封流体1010は、密封流体1010が、任意のリアクタ1008、1008AA、1008ABの任意の反応へ汚染を導入しないように、リアクタ1008、1008AA、1008ABのうちの1つ以上の反応に対して不活性である。
流体力学的シールは、基板接触なしで基板1002の表面の上側にリアクタを位置付けることによって提供される。基板1002に近接したリアクタの位置付けは、リアクタの底部分と基板1002との間の制御された空隙1020の形成をもたらす。したがって、リアクタは、基板と物理的に接触しない。制御された空隙1020の範囲は、密封流体1010および/またはリアクタ1008、1008AA、1008ABの反応物の特性(例えば、流体成分、疎水性、親水性、反応性、粘度等)を介して変調させることができる。
流体力学的軸受機構は、密封流体1010および廃水チャネルの各圧力を制御することによって、基板の上側のリアクタ1008の浮動高、およびしたがって制御された空隙1020を制御するが、そのように限定されない。密封流体1010は、周辺空間1004またはリアクタ壁1008中の第1の一式のチャネル1012を通して、流体力学的密封システム1000の中へ導入される。一実施形態の第1の一式のチャネル1012は、1つのチャネルを含むが、代替実施形態は、任意の数または種類のチャネルまたは通路を含むことができる。反応流体1018はまた、リアクタ1008の中へ導入され、反応流体1018を伴う静的反応の継続時間にわたってリアクタ1008に含有される。密封流体1010は、それが導入されるリアクタ1008に反応流体1018を含有する、流体力学的障壁を形成する働きをする。一実施形態では、密封流体1010の適切な(例えば、より高い)流動および/または(例えば、短い)工程継続時間を選択して、それが導入されるリアクタ1008からの反応流体1018の外部拡散を限定することによって、このことを達成することができる。したがって、流体力学的シールは、反応流体1018の縁間流動を、密封流体1010によって確立される接近した境界に限定することによって、リアクタ1008内の基板1002の特定域または領域を封入する。反応の完了時に、反応流体1018は、リアクタ1008から除去される(例えば、吸引を介して)が、そのように限定されない。
密封流体1010は、リアクタ1008の周辺スペース1004中の第2の一式のチャネル1014を通して、反応廃水1019とともに回収される。リアクタ周辺空間1004の第2の一式のチャネル1014は、密封チャネルとして画定される区域で、第1の一式のチャネルと、チャネル1014が対応するリアクタとの間に位置する。一実施形態の第2の一式のチャネル1014は、1つのチャネルを含むが、代替実施形態は、任意の数または種類のチャネルまたは通路を含むことができる。一実施形態の流体力学的密封システムは、第2の一式のチャネル1014を通して密封流体1010および/または反応廃水1019を回収するための真空源を含む。
上記の流体力学的密封システムは、基板と直接接触しているリアクタ構成要素を有することなく、リアクタ間単離を提供し、それにより、リアクタとの物理的接触による反応物汚染の可能性を低減または排除する。流体力学的密封システムはまた、2つのレベルの格納を提供して、雰囲気への反応物の漏出がないことを確実にする。
一実施形態の基板処理は、1つ以上の基板処理システムで使用され、基板上に材料を形成するように処理する(例えば、層または構造を生産する)。本明細書で使用されるような基板上での材料の形成は、基板材料上に直接材料を形成するステップ、ならびに以前に基板上に形成された別の材料上に材料を形成するステップの両方を包含するが、そのように限定されなくてもよい。基板処理は、種々の製品の製造において有用となり得る、非常に安価に基板上の微小構造および特徴(例えば、ナノメートルサイズ規模における)の生産を可能にする。加えて、基板処理は、市販の基板処理装置および方法(例えば、市販の半導体処理機器および方法)によって可能にされる1つ以上の能力を利用して、基板上に材料を形成する基板処理の性能を促進および/または強化することができる。
基板処理は、任意のサイズの基板を含むことができる。例えば、基板処理は、1平方インチ未満から最大12インチ(300ミリメートル(mm))の面積を有する小型半導体基板、または多くの電子構成要素の生産で使用される、より大型の半導体基板の処理で使用することができる。一般に、処理することができる基板のサイズに限度はない。例えば、基板処理は、電子構成要素を生産するために使用される、より大型の各後続世代の半導体基板を処理するために使用することができる。基板処理はまた、フラットパネルディスプレイの生産で使用される、比較的大型の基板を処理するために使用することができる。そのような基板は、約1平方メートルの長方形基板を含むが、より大型の基板を使用することができる。基板処理はまた、固定幅であるが(理論的に)無制限の長さを有するフレキシブル基板に対するロール・ツー・ロール処理用途で使用するために増減することができる(フラットパネルディスプレイの生産において特に有用となり得る基板処理の方式で)。例えば、そのような基板ロールは、長さ数100フィートとなり得る。
基板処理は、単一の基板または複数の基板の処理で使用することができる(例えば、バッチ処理)。例えば、湿式半導体処理では、単一の基板を処理することができるか、または、例えば、13、25、または50個の基板のバッチを単回で処理することができる。乾式半導体処理およびフラットパネルディスプレイ生産では、典型的には、単一基板が一度に処理される。
本明細書に記載の基板処理は、湿式処理および/または乾式処理を含むことができる。湿式処理の場合、基板は、流体を使用して処理される。例えば、基板は、全体または一部において、規定の特性(例えば、特定の化学組成)を有する流体に浸漬することができる。また、例えば、流体を特定の方式で基板上に噴射することができる。一実施形態の基板処理とともに使用するための湿式処理は、所望の処理に適切であるように、種々の化学成分のうちのいずれかを利用することができる。
乾式処理(例えば、物理的気相成長法、化学気相蒸着、プラズマ化学気相成長法、および原子層蒸着)では、規定の方法で基板表面を処理する、基板との所望の相互作用を生じさせるために、プラズマまたはガスが使用される。基板処理とともに使用するための乾式処理は、所望の処理に適切であるように、不活性または反応性ガスを利用することができる。
種々の化学成分または他の反応物(本明細書では、まとめて成分または化学成分と呼ばれる)のいずれかは、基板処理および関連工程を達成するために、一実施形態の基板処理システムによって使用することができる。成分は、液相、気相、および/または液相および気相の何らかの組み合わせ(例えば、超臨界流体相を含む)となり得る。使用される成分およびそれらの濃度、ならびに成分の混合物は、行われる特定工程ステップに依存する。化学物質送達システムは、工程に適切であるように、化学成分のモル濃度、温度、流速、および圧力の精密制御を可能にすることができる。化学物質送達システムはまた、汚染の適切な濾過および制御も提供する。
基板処理システムの図示した実施形態の上記の説明は、包括的となること、または基板処理システムを開示される正確な形態に限定することを目的としない。例証的目的で、基板処理システムの具体的実施形態および実施例が本明細書に記載されている一方で、当業者が認識するように、種々の同等な修正が基板処理システムの範囲内で可能である。本明細書で提供される基板処理システムの教示は、上記で開示されるシステムおよび方法だけでなく、他の処理システムおよび方法に適用することができる。
上記の種々の実施形態の要素および作用は、さらなる実施形態を提供するように組み合わせることができる。上記の発明を実施するための形態を踏まえて、基板処理システムにこれらの変更および他の変更を行うことができる。
一般に、以下の請求項では、使用される用語は、基板処理システムを本明細書で開示される具体的実施形態に限定すると解釈されるべきではないが、請求項の下で動作する全ての処理システムを含むと解釈されるべきである。

Claims (33)

  1. 複数の化学物質から複数の溶液を生成することであって、組成は、組み合わせ方法で変動され、該複数の溶液のうちの異なる溶液の間で独立して制御される、ことと、
    流体送達システムを通して、従来の処理モジュールおよび組み合わせモジュールに該化学物質および該溶液を分注することであって、該組み合わせ処理モジュールに供給する該送達システムは、該従来の処理モジュールに供給する該送達システムと共有される、その結果、該組み合わせ処理モジュール中の1つの部位単離リアクタに送達される該溶液は、該組み合わせ処理モジュール中の第2の部位単離リアクタに送達される該溶液とは独立して変動させることができる、ことと
    を含む、基板の混合モード処理の方法。
  2. 前記組成は、前記生成することおよび前記分注することをそれぞれが含む、複数の工程順序のうちの1つ以上に対する処方に従って変動される、請求項1に記載の方法。
  3. それぞれ前記生成することおよび前記分注することを含む、複数の工程を同期化することを含む、請求項1に記載の方法。
  4. 前記生成することおよび前記分注することを含む工程順序に対するグローバルパラメータに従って、複数の領域にわたって前記分注することのタイミングを制御することを含む、請求項1に記載の方法。
  5. 前記グローバルパラメータは、時間、温度、およびpHのうちの1つ以上を含む、請求項4に記載の方法。
  6. 前記複数の溶液を分注することは、一式の前記複数の領域の領域に並列して溶液を分注することを含む、請求項1に記載の方法。
  7. 少なくとも1つの第1の一式の工程を使用して、表面全体の上へ前記化学物質を分注することを制御し、次いで、少なくとも1つの第2の一式の工程を使用して、前記複数の溶液を分注することを制御することを含む、請求項1に記載の方法。
  8. 少なくとも1つの第2の一式の工程を使用して、前記複数の溶液を分注することを制御し、次いで、少なくとも1つの第1の一式の工程を使用して、表面全体の上へ前記化学物質を分注することを制御することを含む、請求項1に記載の方法。
  9. 直列、高速直列、直列/並列、および並列流のうちの1つ以上を使用して、前記複数の溶液を分注することを制御することを含む、請求項1に記載の方法。
  10. 第1の一式の領域の各領域へ前記溶液を直列に流すように、そして第2の一式の領域の各領域へ該溶液を並列に流すように、前記複数の溶液を分注することを制御することを含む、請求項1に記載の方法。
  11. 前記従来の処理モジュールに送達される前記溶液は、前記組み合わせ処理モジュール中の前記部位単離リアクタに送達される前記溶液とは独立して変動される、請求項1に記載の方法。
  12. 流体送達システムと、
    該流体送達システムに連結される複数の部位単離リアクタであって、該複数の部位単離リアクタは、該流体送達システムから化学物質を受容するように構成され、ウエハの部位単離処理を達成するために使用される化学物質送達および処理パラメータの独立制御のために構成される、複数の部位単離リアクタと、
    該流体送達システムに連結されるフルウエハリアクタであって、該フルウエハリアクタは、該ウエハのフルウエハ処理を達成し、該フルウエハ処理は、該部位単離処理と一体化される、フルウエハリアクタと
    を備える、基板処理システム。
  13. 前記流体送達システムは、少なくとも1つの第2の多岐管に連結される第1の混合容器に連結される、少なくとも1つの第1の多岐管を含み、該第2の多岐管は、追加混合容器に連結される、請求項12に記載のシステム。
  14. 第1の多岐管の数は、部位単離リアクタの数に等しい、請求項13に記載のシステム。
  15. 第1の多岐管の数は、第2の多岐管の数に等しい、請求項13に記載のシステム。
  16. 前記流体送達システムに連結される複数の化学物質を備え、該複数の化学物質は、第1の多岐管を介して分配される、請求項12に記載のシステム。
  17. 前記流体送達システムは、第1の順序を使用して第1の部位単離リアクタに前記化学物質を送達するように、そして第2の順序を使用して第2の部位単離リアクタに該化学物質を送達するように構成される、請求項12に記載のシステム。
  18. 前記流体送達システムは、第1の流速を使用して第1の部位単離リアクタに前記化学物質を送達するように、そして第2の流速を使用して第2の部位単離リアクタに該化学物質を送達するように構成される、請求項12に記載のシステム。
  19. フルウエハ処理モジュールと、
    組み合わせ処理モジュールと
    を備え、前記組み合わせ処理モジュールで使用するための化学物質は、一式の第1の多岐管、少なくとも1つの混合容器に連結される各第1の多岐管の産出、一式の第2の多岐管のうちの2つ以上に供給する各混合容器の産出、前記組み合わせ処理モジュールの複数の部位単離リアクタのうちの1つに供給する各一式の第2の多岐管の産出を含む、送達システムから供給される、統合処理システム。
  20. 前記フルウエハ処理モジュールで使用するための前記化学物質は、一式の第3の多岐管または前記第1の一式の多岐管のうちの一方から供給される、請求項19に記載のシステム。
  21. 前記フルウエハ処理モジュールおよび前記組み合わせ処理モジュールに連結されるコントローラを備える、請求項19に記載のシステム。
  22. 前記コントローラは、少なくとも1つの第1の一式の工程を使用する前記フルウエハ処理モジュールによって、次いで、少なくとも1つの第2の一式の工程を使用する前記組み合わせ処理モジュールによって、基板の処理を制御するように構成される、請求項21に記載のシステム。
  23. 前記コントローラは、第1の一式の部位単離リアクタの各部位単離リアクタに前記化学物質を直列に流すように、および第2の一式の部位単離リアクタの各部位単離リアクタに前記化学物質を並列に流すように構成される、請求項21に記載のシステム。
  24. 部位単離リアクタ(SIR)を備える統合処理ツールであって、該SIRは、
    一式の第1の多岐管であって、各第1の多岐管は、複数の化学物質に連結される、一式の第1の多岐管と、
    複数の混合容器であって、各混合容器は、各第1の多岐管の産出に連結される、複数の混合容器と、
    一式の第2の多岐管であって、各第2の多岐管は、少なくとも1つの混合容器の産出および複数の化学物質に連結される、一式の第2の多岐管と、
    複数のフローセルであって、各フローセルは、少なくとも1つの第2の多岐管の産出に連結される、複数のフローセルと
    を備える、統合処理ツール。
  25. 前記第1の多岐管および前記第2の多岐管のうちの1つ以上は、真空源に連結される、請求項24に記載のツール。
  26. 第2の多岐管と対応するフローセルとの間に連結される、インラインミキサを備える、請求項24に記載のツール。
  27. 前記一式の第1の多岐管は、各混合容器中で形成される組成または各混合容器への量のうちの1つを独立して変動させるように構成される、請求項24に記載のツール。
  28. 各混合容器は、撹拌要素、温度制御要素、およびpH制御要素のうちの1つ以上を含む、請求項24に記載のツール。
  29. 前記一式の第2の多岐管は、1つ以上の化学物質および少なくとも1つの混合容器の産出を順序付けるように構成される、請求項24に記載のツール。
  30. 前記一式の第2の多岐管は、直列、高速直列、直列/並列、および並列送達のうちの1つ以上を使用して、前記フローセルに化学物質を流すように構成される、請求項24に記載のツール。
  31. 複数のスリーブに連結されるリアクタブロックを備え、一式のスリーブは、各フローセルを受容するように構成され、前記一式のスリーブは、処理される基板の領域を単離する、請求項24に記載のツール。
  32. 前記複数のフローセルに連結される固定具を備え、該固定具は、前記一式のスリーブに対して該複数のフローセルの垂直位置を操作するように構成され、該固定具は、各SIR容器の容積を動的に、かつ独立して制御するように構成される、請求項31に記載のツール。
  33. 前記複数の化学物質に連結される第3の多岐管と、
    前記第3の多岐管に連結されるフルウエハリアクタと
    を備える、請求項24に記載のツール。
JP2009544251A 2006-12-29 2007-12-26 部位単離リアクタを有する統合ツールのための進歩した混合システム Pending JP2010515273A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/647,881 US8011317B2 (en) 2006-12-29 2006-12-29 Advanced mixing system for integrated tool having site-isolated reactors
PCT/US2007/088859 WO2008083178A1 (en) 2006-12-29 2007-12-26 Advanced mixing system for integrated tool having site-isolated reactors

Publications (1)

Publication Number Publication Date
JP2010515273A true JP2010515273A (ja) 2010-05-06

Family

ID=39582389

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009544251A Pending JP2010515273A (ja) 2006-12-29 2007-12-26 部位単離リアクタを有する統合ツールのための進歩した混合システム

Country Status (6)

Country Link
US (4) US8011317B2 (ja)
JP (1) JP2010515273A (ja)
KR (1) KR101387877B1 (ja)
CN (1) CN101606226B (ja)
TW (1) TWI385715B (ja)
WO (1) WO2008083178A1 (ja)

Families Citing this family (242)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110081415A1 (en) * 2005-03-10 2011-04-07 Taisho Pharmaceutical Co., Ltd Coating apparatus
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
JP5474278B2 (ja) * 2007-02-22 2014-04-16 ピーエスフォー ルクスコ エスエイアールエル 超臨界プロセス用バッチ式成膜装置及び半導体装置の製造方法
US9044774B2 (en) * 2007-12-18 2015-06-02 Intermolecular, Inc. Vented combinatorial processing cell
US8037894B1 (en) 2007-12-27 2011-10-18 Intermolecular, Inc. Maintaining flow rate of a fluid
US8220502B1 (en) * 2007-12-28 2012-07-17 Intermolecular, Inc. Measuring volume of a liquid dispensed into a vessel
US9016233B1 (en) * 2008-04-23 2015-04-28 Intermolecular, Inc. Volume combinatorial processing chamber
US20100075060A1 (en) * 2008-09-24 2010-03-25 Pravin Narwankar process tool including plasma spray for carbon nanotube growth
JP2011009362A (ja) * 2009-06-24 2011-01-13 Tokyo Electron Ltd インプリントシステム、インプリント方法、プログラム及びコンピュータ記憶媒体
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8647446B2 (en) 2011-12-07 2014-02-11 Intermolecular, Inc. Method and system for improving performance and preventing corrosion in multi-module cleaning chamber
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103811378B (zh) * 2012-11-14 2016-08-03 沈阳芯源微电子设备有限公司 一种半导体制造设备的自动移动装置
US8893923B2 (en) * 2012-11-28 2014-11-25 Intermolecular, Inc. Methods and systems for dispensing different liquids for high productivity combinatorial processing
US20140144471A1 (en) * 2012-11-28 2014-05-29 Intermolecular, Inc. Contamination Control, Rinsing, and Purging Methods to Extend the Life of Components within Combinatorial Processing Systems
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN105190862B (zh) * 2013-03-06 2018-09-11 等离子瑟姆有限公司 用于对半导体晶圆进行等离子切片的方法和设备
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US9269567B2 (en) * 2013-12-17 2016-02-23 Intermolecular, Inc. High productivity combinatorial processing using pressure-controlled one-way valves
US20150233008A1 (en) * 2014-02-13 2015-08-20 Skyworks Solutions, Inc. Apparatus and methods related to copper plating of wafers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11357966B2 (en) 2015-04-23 2022-06-14 B. Braun Medical Inc. Compounding device, system, kit, software, and method
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN106971660A (zh) * 2016-10-27 2017-07-21 北京石油化工学院 一种基于静态混合器的多功能模块化实验装置
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08306653A (ja) * 1995-04-27 1996-11-22 Sony Corp 洗浄方法およびこれに用いる洗浄装置
US20020048536A1 (en) * 1999-03-03 2002-04-25 Bergh H. Sam Parallel flow process optimization reactors
JP2005044866A (ja) * 2003-07-23 2005-02-17 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
US20060292845A1 (en) * 2004-09-17 2006-12-28 Chiang Tony P Processing substrates using site-isolated processing

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1251283B (de) 1967-10-05 Siemens Aktiengesellschaft, Berlin und München, München Vorrichtung zum gleichzeitigen Herstellen einer Vielzahl von einkristallinen Halbleiterkörpern
US3131098A (en) 1960-10-26 1964-04-28 Merck & Co Inc Epitaxial deposition on a substrate placed in a socket of the carrier member
DE2307649B2 (de) 1973-02-16 1980-07-31 Robert Bosch Gmbh, 7000 Stuttgart Anordnung zum Aufstäuben verschiedener Materialien auf einem Substrat
US4743954A (en) 1985-06-07 1988-05-10 University Of Utah Integrated circuit for a chemical-selective sensor with voltage output
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US6943034B1 (en) * 1991-11-22 2005-09-13 Affymetrix, Inc. Combinatorial strategies for polymer synthesis
EP1588761A3 (en) * 1991-11-22 2005-11-23 Affymetrix, Inc. Method of forming arrays of polymers
US5384261A (en) * 1991-11-22 1995-01-24 Affymax Technologies N.V. Very large scale immobilized polymer synthesis using mechanically directed flow paths
US6849462B1 (en) 1991-11-22 2005-02-01 Affymetrix, Inc. Combinatorial strategies for polymer synthesis
US5356756A (en) 1992-10-26 1994-10-18 The United States Of America As Represented By The Secretary Of Commerce Application of microsubstrates for materials processing
US6004617A (en) 1994-10-18 1999-12-21 The Regents Of The University Of California Combinatorial synthesis of novel materials
US5985356A (en) 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
US6045671A (en) * 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US5603351A (en) 1995-06-07 1997-02-18 David Sarnoff Research Center, Inc. Method and system for inhibiting cross-contamination in fluids of combinatorial chemistry device
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US6063633A (en) 1996-02-28 2000-05-16 The University Of Houston Catalyst testing process and apparatus
WO1997034319A1 (fr) 1996-03-06 1997-09-18 Hitachi, Ltd. Fabrication de composants a semi-conducteur
US6468806B1 (en) 1996-10-02 2002-10-22 Symyx Technologies, Inc. Potential masking systems and methods for combinatorial library synthesis
US6576906B1 (en) 1999-10-08 2003-06-10 Symyx Technologies, Inc. Method and apparatus for screening combinatorial libraries for semiconducting properties
US6187164B1 (en) 1997-09-30 2001-02-13 Symyx Technologies, Inc. Method for creating and testing a combinatorial array employing individually addressable electrodes
US6818110B1 (en) 1997-09-30 2004-11-16 Symyx Technologies, Inc. Combinatorial electrochemical deposition and testing system
US6079873A (en) 1997-10-20 2000-06-27 The United States Of America As Represented By The Secretary Of Commerce Micron-scale differential scanning calorimeter on a chip
EP1034566A1 (en) 1997-11-26 2000-09-13 Applied Materials, Inc. Damage-free sculptured coating deposition
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
DE19822077A1 (de) 1998-05-16 1999-11-18 Studiengesellschaft Kohle Mbh Kombinatorisches Verfahren zur Herstellung und Charakterisierung von kristallinen und amorphen Materialbibliotheken im Mikrogramm-Maßstab
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6306658B1 (en) 1998-08-13 2001-10-23 Symyx Technologies Parallel reactor with internal sensing
US6344084B1 (en) 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
WO2000017413A2 (en) 1998-09-18 2000-03-30 Symyx Technologies Formation of combinatorial arrays of materials using solution-based methodologies
US6683446B1 (en) 1998-12-22 2004-01-27 John Pope Electrode array for development and testing of materials
US6830663B2 (en) 1999-01-26 2004-12-14 Symyx Technologies, Inc. Method for creating radial profiles on a substrate
US6364956B1 (en) 1999-01-26 2002-04-02 Symyx Technologies, Inc. Programmable flux gradient apparatus for co-deposition of materials onto a substrate
WO2000048725A1 (en) 1999-02-17 2000-08-24 Oxxel Oxide Electronics Technology, Inc. Method for preparation of libraries using a combinatorial molecular beam epitaxy (combe) apparatus
US6749814B1 (en) * 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6323128B1 (en) 1999-05-26 2001-11-27 International Business Machines Corporation Method for forming Co-W-P-Au films
US7052545B2 (en) 2001-04-06 2006-05-30 California Institute Of Technology High throughput screening of crystallization of materials
US6342733B1 (en) 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6750152B1 (en) 1999-10-01 2004-06-15 Delphi Technologies, Inc. Method and apparatus for electrically testing and characterizing formation of microelectric features
DE19959974A1 (de) 1999-12-13 2001-06-21 Basf Ag Verfahren zur Herstellung von Materialbibliotheken durch elektrochemische Abscheidung
US6420250B1 (en) 2000-03-03 2002-07-16 Micron Technology, Inc. Methods of forming portions of transistor structures, methods of forming array peripheral circuitry, and structures comprising transistor gates
US6491759B1 (en) 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
US6983233B1 (en) 2000-04-19 2006-01-03 Symyx Technologies, Inc. Combinatorial parameter space experiment design
US6282096B1 (en) * 2000-04-28 2001-08-28 Siliconware Precision Industries Co., Ltd. Integration of heat conducting apparatus and chip carrier in IC package
US6911129B1 (en) 2000-05-08 2005-06-28 Intematix Corporation Combinatorial synthesis of material chips
CA2344946A1 (en) 2000-05-10 2001-11-10 Symyx Technologies, Inc. Polymer libraries on a substrate, method of forming polymer libraries on a substrate and characterization methods with same
AU2001288225A1 (en) 2000-07-24 2002-02-05 The University Of Maryland College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US6420178B1 (en) 2000-09-20 2002-07-16 General Electric Company High throughput screening method, array assembly and system
US6503834B1 (en) 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
US20020105081A1 (en) 2000-10-12 2002-08-08 G. Ramanath Self-assembled near-zero-thickness molecular layers as diffusion barriers for Cu metallization
US20020079487A1 (en) 2000-10-12 2002-06-27 G. Ramanath Diffusion barriers comprising a self-assembled monolayer
US6482264B1 (en) * 2000-10-26 2002-11-19 General Electric Company Systems and methods for fabrication of coating libraries
EP1350214A4 (en) 2000-12-15 2009-06-10 Symyx Technologies Inc METHODS AND DEVICES FOR PREPARING HIGHLY DIMENSIONED COMBINATION LIBRARIES
US7992655B2 (en) * 2001-02-15 2011-08-09 Dual Gradient Systems, Llc Dual gradient drilling method and apparatus with multiple concentric drill tubes and blowout preventers
US6607977B1 (en) 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
KR20040019293A (ko) * 2001-05-24 2004-03-05 셀레리티 그룹 아이엔씨 소정 비율의 프로세스 유체를 제공하는 방법 및 장치
WO2003014732A1 (en) 2001-08-10 2003-02-20 Symyx Technologies, Inc. Apparatuses and methods for creating and testing pre-formulations and systems for same
US20030032198A1 (en) 2001-08-13 2003-02-13 Symyx Technologies, Inc. High throughput dispensing of fluids
KR100434946B1 (ko) 2001-09-28 2004-06-10 학교법인 성균관대학 무전해도금방식을 이용한 반도체 소자의 구리배선형성방법
US6758951B2 (en) 2001-10-11 2004-07-06 Symyx Technologies, Inc. Synthesis and characterization of materials for electrochemical cells
EP1435110B1 (en) 2001-10-12 2006-05-03 Siltronic AG A method for forming a layered semiconductor structure and corresponding structure
US6689218B2 (en) * 2001-10-23 2004-02-10 General Electric Company Systems for the deposition and curing of coating compositions
AU2002360738A1 (en) 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US7335153B2 (en) 2001-12-28 2008-02-26 Bio Array Solutions Ltd. Arrays of microparticles and methods of preparation thereof
WO2003058671A2 (en) 2002-01-14 2003-07-17 Universität Augsburg Target end station for the combinatory ion implantation and method of ion implantation
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
US6908807B2 (en) 2002-03-26 2005-06-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US20030224105A1 (en) 2002-05-30 2003-12-04 Symyx Technologies, Inc. Apparatus and methods for forming films on substrates
US20040071888A1 (en) 2002-05-30 2004-04-15 Symyx Technologies, Inc. Apparatus and method of research for creating and testing thin films
US6913652B2 (en) * 2002-06-17 2005-07-05 Applied Materials, Inc. Gas flow division in a wafer processing system having multiple chambers
JP4261931B2 (ja) 2002-07-05 2009-05-13 株式会社荏原製作所 無電解めっき装置および無電解めっき後の洗浄方法
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7247346B1 (en) * 2002-08-28 2007-07-24 Nanosolar, Inc. Combinatorial fabrication and high-throughput screening of optoelectronic devices
US6821909B2 (en) 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
JP4071612B2 (ja) 2002-12-11 2008-04-02 三菱電機株式会社 銅下地膜形成材料、銅下地膜形成方法、銅下地膜および半導体装置
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6858527B2 (en) 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
US7432006B2 (en) 2003-05-27 2008-10-07 Intematix Corporation Electrochemical probe for screening multiple-cell arrays
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US7416911B2 (en) 2003-06-24 2008-08-26 California Institute Of Technology Electrochemical method for attaching molecular and biomolecular structures to semiconductor microstructures and nanostructures
US8431344B2 (en) 2003-06-30 2013-04-30 Raustech Pty Ltd Micro and nano scale fabrication and manufacture by spatially selective deposition
US7008871B2 (en) 2003-07-03 2006-03-07 International Business Machines Corporation Selective capping of copper wiring
US7071022B2 (en) 2003-07-18 2006-07-04 Corning Incorporated Silicon crystallization using self-assembled monolayers
US6905958B2 (en) 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
US7179758B2 (en) 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7827930B2 (en) 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
GB2406860A (en) 2003-10-09 2005-04-13 Univ Southampton Vapour deposition method
US7465358B2 (en) 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
WO2006110153A2 (en) 2004-06-18 2006-10-19 North Dakota State University Multi-well plates
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US20060292846A1 (en) 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
WO2006058034A2 (en) 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US20060258128A1 (en) 2005-03-09 2006-11-16 Peter Nunan Methods and apparatus for enabling multiple process steps on a single substrate
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US20070029189A1 (en) * 2005-08-02 2007-02-08 The University Of Chicago Combinatorial electrochemical deposition system
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7960313B2 (en) * 2007-06-14 2011-06-14 Intermolecular, Inc. Combinatorial processing including stirring

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08306653A (ja) * 1995-04-27 1996-11-22 Sony Corp 洗浄方法およびこれに用いる洗浄装置
US20020048536A1 (en) * 1999-03-03 2002-04-25 Bergh H. Sam Parallel flow process optimization reactors
JP2005044866A (ja) * 2003-07-23 2005-02-17 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
US20060292845A1 (en) * 2004-09-17 2006-12-28 Chiang Tony P Processing substrates using site-isolated processing

Also Published As

Publication number Publication date
US20120231975A1 (en) 2012-09-13
US20130065796A1 (en) 2013-03-14
TW200845143A (en) 2008-11-16
CN101606226B (zh) 2012-05-09
CN101606226A (zh) 2009-12-16
US8207069B2 (en) 2012-06-26
US20080156769A1 (en) 2008-07-03
KR101387877B1 (ko) 2014-04-22
TWI385715B (zh) 2013-02-11
US20110281773A1 (en) 2011-11-17
US8414703B2 (en) 2013-04-09
KR20090102773A (ko) 2009-09-30
US8011317B2 (en) 2011-09-06
WO2008083178A1 (en) 2008-07-10

Similar Documents

Publication Publication Date Title
JP2010515273A (ja) 部位単離リアクタを有する統合ツールのための進歩した混合システム
US9076716B2 (en) Methods for discretized processing and process sequence integration of regions of a substrate
US7902063B2 (en) Methods for discretized formation of masking and capping layers on a substrate
US8776717B2 (en) Systems for discretized processing of regions of a substrate
US8772772B2 (en) System and method for increasing productivity of combinatorial screening
US20080128696A1 (en) Methods for discretized processing and process sequence integration of regions of a substrate
US8836123B2 (en) Methods for discretized formation of masking and capping layers on a substrate
US20140133265A1 (en) Contactless Magnetically Driven Agitation Systems
US8893923B2 (en) Methods and systems for dispensing different liquids for high productivity combinatorial processing
KR20170011158A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120928

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130402