CN102148134A - 用于隔离的与离散的工艺顺序的整合的方法 - Google Patents

用于隔离的与离散的工艺顺序的整合的方法 Download PDF

Info

Publication number
CN102148134A
CN102148134A CN2010106135466A CN201010613546A CN102148134A CN 102148134 A CN102148134 A CN 102148134A CN 2010106135466 A CN2010106135466 A CN 2010106135466A CN 201010613546 A CN201010613546 A CN 201010613546A CN 102148134 A CN102148134 A CN 102148134A
Authority
CN
China
Prior art keywords
substrate
insulating barrier
composite type
isolated regions
site isolated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010106135466A
Other languages
English (en)
Inventor
托尼·P.·江
理查·R.·恩宝
詹姆士·曾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intermolecular Inc
Original Assignee
Intermolecular Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intermolecular Inc filed Critical Intermolecular Inc
Publication of CN102148134A publication Critical patent/CN102148134A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Automation & Control Theory (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本发明提供一种组合式处理基板的方法,其包含下列的步骤:在真空下处理包括第一金属层的基板以在所述第一金属层上形成包括位址隔离区域的绝缘层,其中所述处理通过改变所述第一金属层和所述绝缘层之间的尺寸关系而使所述绝缘层相对于所述第一金属层在空间上变化;以及在真空下处理所述基板以在所述绝缘层上形成第二金属层,其中所述第二金属层与所述绝缘层之间具有变化的尺寸关系以定义多个电绝缘的金属-绝缘体-金属(MIM)结构,其中所述MIM结构组合式变化。

Description

用于隔离的与离散的工艺顺序的整合的方法
技术领域
本申请是申请日为2007年7月12日、申请号为CN200780026564.0、发明名称为“用于隔离的与离散的工艺顺序的整合的方法与系统”的专利申请的分案申请。本发明涉及半导体基板的处理方法。
背景技术
随着半导体工艺变得更复杂,对改良的研究变得更难以控制管理。不仅要能够研究不同的材料,而且工艺及工艺顺序可能也需要随着材料改变。为了实施对这些变化评估,必须进行过多的测试及数据评估。目前用于评估材料的梯度变化技术并未针对有效地施行大量所需测试而被最优化。梯度变化技术的一个限制为,其无法配合跨越多个步骤的变化以便结合对材料评估而评估工艺顺序。例如,目前的梯度变化技术缺乏同时评估单一基板上的不同材料的不同工艺与工艺顺序的能力。
因此,需要用于测试工艺、工艺顺序及单一基板上的材料的改进的技术及相关系统。
发明内容
本发明的实施例提供一种半导体基板的处理方法及系统。下列将叙述本发明的数个发明实施例。
在本发明的一方面,提供一种组合式处理基板的方法,其包含下列的步骤:在真空下处理包括第一金属层的基板以在所述第一金属层上形成包括位址隔离区域的绝缘层,其中所述处理通过改变所述第一金属层和所述绝缘层之间的尺寸关系而使所述绝缘层相对于所述第一金属层在空间上变化;以及在真空下处理所述基板以在所述绝缘层上形成第二金属层,其中所述第二金属层与所述绝缘层之间具有变化的尺寸关系以定义多个电绝缘的金属-绝缘体-金属(MIM)结构,其中所述MIM结构组合式变化。
在本发明的另一方面,提供一种组合式处理基板的方法,其包含下列的步骤:在集束型设备中接收基板,其中所述集束型设备包括第一组合式处理室和第二组合式处理室;在所述第一组合式处理室中处理所述基板以在所述基板上沉积多个位址隔离区域;以及在所述第二组合式处理室中处理所述基板以沉积相对于所述位址隔离区域在空间上变化的层,从而形成多个个体的组合式变化的装置,其中接收所述基板、在所述第一组合式设备中处理所述基板、以及在所述第二组合式设备中处理所述基板是在未中断真空的情况下施行的。
在本发明的另一方面,提供一种半导体基板的处理系统。此系统包含一主框架,此主框架具有多个模块连接至此主框架。所述模块包含处理模块、储存模块及传输机构。所述处理模块可包含组合式的处理模块及传统的处理模块,如表面备制、热处理、蚀刻及沉积模块。在一实施例中,所述模块中的至少之一储存多个掩膜。该多个掩膜致使跨越一系列工艺及/或另一模块中待处理的基板的多个膜层的空间位置与几何形状的原位变化。应注意:每一工艺毋需形成一膜层,且每一工艺毋需所有区域的空间位置皆重迭。在另一实施例中,该系统包含一处理模块,此处理模块用以在维持受控环境的同时,处理一基板表面的第一层面(first level)的位址隔离区域(site isolated regions),并改变跨越基板表面上设置于彼此之上的多个层面的特征尺寸。在此实施例中,该受控环境被维持在包围多个处理模块的一框架区域内。
在本发明的又一方面,提供一种基板的处理方法。该方法开始于接收该基板。当空间上改变连续处理间的掩膜时,以串行及并行的组合方式处理基板的多个区域,其中该连续处理在集束型(cluster)设备内进行以避免不利的环境(negative environment)。所避免的不利的环境可能会包含空气、水气及微粒污染。在一实施例中,连续的处理在未中断真空(vacuum break)的情况下进行。在另一实施例中,提供一种在未中断真空的集束型(cluster)设备内的基板处理方法。该方法开始于,在一处理室中利用具有第一组特征部的掩膜来处理基板。接着,在该处理室中利用具有第二组特征部的掩膜来处理基板。在一实施例中,具有第一组特征部的掩膜被用于初始处理操作,接着自该处理室移出掩膜并以具有第二组特征部的掩膜替换之。在另一实施例中,提供一种基板的组合处理方法。该方法开始于,以传统的方式来处理基板。在真空下,于基板的一离散区域上施行第一位址隔离沉积(first site-isolated deposition)。在未中断真空的情况下,于基板的该离散区域上施行第二位址隔离沉积。第二位址隔离沉积所覆盖的区域大于第一位址隔离沉积所覆盖的区域。接着,在未中断真空的情况下于基板的该离散区域上施行第三位址隔离沉积。第三位址隔离沉积所覆盖的区域小于第二位址隔离沉积所覆盖的区域。此实施例提供了一实例,在此实例中位址隔离沉积区域间的尺寸关具体指定了第二位址隔离区域所覆盖的区域大于第一区域且第三位址隔离区域所覆盖的区域小于第二区域。然而在其他实施例中,第二位址隔离区域与第一位址隔离区域具有不同尺寸,或第一、第二及第三位址隔离区域皆具有不同尺寸。应注意:位址隔离区域可具有不同的几何形状及/或尺寸。
自下列结合了附图并以本发明的原理实例的方式所说明的详细叙述,本发明的其他方面将变得更清晰。
附图说明
通过下列结合了附图的详细叙述,本发明将更容易了解;相同的附图标记代表相同的结构元件。
图1是显示了根据本发明的一实施例的组合工艺顺序整合的方法的流程图。
图2是显示了根据本发明的一实施例的整合式高效率组合系统(high productivity combinatorial,HPC)沉积被统的简化示意图。
图3为图2中的集成型高效率组合系统(HPC)沉积被统的另一实施例。
图4是显示了根据本发明的一实施例的资料库模块(library module)的简化示意图。
图5A-1至5A-3及5B-1至5B-3显示了可被储存于图4的资料库模块内的示例性掩膜图案。
图5C为根据本发明的一实施例的能够在位址隔离处理期间被形成的特征部的简化示意图,其中此特征部具有跨越基板的多个膜层的不同空间定义。
图6A及6B显示了根据本发明的一实施例的具有遮板库(shutter garage)的HPC模块。
图7A至7D显示了根据本发明的一实施例的可经由图6A及6B的遮板库的遮板位向而施加的各种结构。
图8是显示了根据本发明的一实施例的基板组合工艺的步骤的流程图。
具体实施方式
此文中所述的实施例提供了能够施行传统及组合工艺的方法及系统,所述传统及组合工艺可用以评估单一基板上的多个材料及多个处理步骤。然而,本领域技术人员将清楚了解,在不利用部分或全部所述特定细节的情况下亦可施行本发明。在其他的情况下,并未详细叙述传统的处理操作以免不必要地模糊本发明的焦点。
本文中所述的设备可用以分析工艺、工艺顺序及单一基板上的组合式材料。本文中所述的实施例在下列工艺之间不使基板暴露于有害环境的情况下,达成所需材料的空间定义、特定处理膜层内具有变化几何形状的工艺、及其跨越一特定基板的多个处理膜层的工艺顺序。在一实施例中,避免有害环境被通过未中断真空来达到,即,将基板所暴露的环境维持在受控制的环境条件下,此条件包含维持真空状态。当然,维持真空状态包含真空可改变但未中断真空的条件,即,压力不会自真空状态转变为正压,例如显然在包含集束型设备的室内。在此情况下,组合式的工艺顺序整合可用以最优化半导体工艺。工艺顺序整合允许不同的工艺及伴随的材料受到评估而非仅单一材料评估。如下列更详细地讨论,提供具有多个模块的集束型设备,其中所述模块中之一为用以施行位址隔离处理步骤的组合式处理室。位址隔离工艺可以连续的方式施行,在此方式中一次处理基板的一个位址。在另一实施例中,可以并行的方式处理基板上的一或多个位址群组。而每一此类位址群组可串行处理,即,以连续的方式处理。又,传统的处理模块如沉积室可被包含于集束型设备中,其中所有或实质上所有基板皆并行处理,这在此处描述的一些的一些实施例中可被称为以传统方式处理。当考虑到组合及传统的处理模块的组合能力时,可评估工艺材料、工艺及/或工艺顺序的任何数目组合/变化。又,可在特定的基板膜层或多个基板膜层间连续地、平行地或以串行处理及并行处理的某种组合处理基板的区域。因此,在本文中所述的实施例下能够评估处理操作的顺序及材料组合。
本文中所述的设备亦避免基板暴露至不利的环境。不利的环境可包含空气、氧、水气、微粒污染等。基本上,不利地影响处理操作中的工艺或材料的任何环境条件都会被视为是不利的环境的元素。通过控制处理室及模块内和处理室及模块外但位于集束型设备框架环境内的环境及处理条件,可随着不同材料来评估工艺顺序整合。在一实施例中,框架环境(亦可被称为框架区环境)被维持在真空条件,以在切换处理室的掩膜或处理室间传送基板时避免真空中断。此外,实施例包含在每一处理步骤后测试原位建立的结构的能力。因此,用于该结构的不同材料及/或建立该结构的步骤序列的影响可受到评估,以决定最优的工艺及结构。换言之,下列的实施例叙述了能够考虑或结合工艺整合资料库与材料资料库的系统与方法。所述实施例避免了步骤间的真空中断并提供了在处理操作间必须改变的几何形状。变化几何形状包含但不限制于,在步骤之间或之内改变特征部的尺寸、形状、位置、分布、位向、数目等。处理模块内部及外部的受控环境避免了暴露至不利的环境的任何机会。
图1的流程图显示了根据本发明的一实施例的组合式工艺顺序整合的方法。在操作100中,提供基板。来自操作100的基板可以操作120中所指定的传统方式来加以处理,或可在操作110中所指定的离散方式加以处理。离散工艺经由高效率组合式(HPC)沉积系统进行,下列将根据本发明的一实施例的图2与3而更详细地叙述此沉积系统。以前述组合方式处理的基板可选择性地先以操作120中所述的传统方式加以处理或接续地以操作130所述的传统方式加以处理。此外,应注意:多个离散处理操作可在任何传统处理操作之后或之前进行。本领域技术人员应注意,传统的处理操作指基板或基板的实质部分以均匀方式受到处理的处理操作,例如经由市售的沉积、蚀刻、清洁及半导体晶片制造时所用的其他半导体工艺设备所施行者。因此,本文中所述的操作实现了欲在建立终端装置如集成电路等所需的处理流程的所需区段中使用的组合式处理及组合工艺顺序整合方法。接着,可利用操作140中所指定的传统分析方法,针对有兴趣的特性测试处理区域如装置或已制造的装置的多个部分。应注意,操作140的测试工艺可在图1的流程图中的多个步骤处进行。即,在每一传统处理技术及/或每一离散处理技术后,可进行测试以收集独立工艺顺序与序列整合的资讯。此外,可在工艺顺序后进行测试。在一实施例中测试原位进行。当然,在图1的每一操作间,测试是选择性地,其可进行或不进行。经由所有实施例的叙述应了解,经由本文中所述的设计/系统可达成许多其他的组合/变化。因此,特别叙述的例示性实施例意不在限制本发明。
图2的简化示意图显示了根据本发明的一实施例的集成型高效率组合(HPC)沉积系统。HPC沉积系统包含支撑多个处理模块的框架400。应了解,根据一实施例的框架400可为一体框架。然而,用以支撑本文所述的模块并允许基板在多个模块间传送的任何合适结构皆可与本文所述的实施例一起使用。例如,框架400可为集成在一起的多个分离件。真空预备室(load lock)/工厂介面402提供进入HPC沉积系统的多个模块的入口。根据一实施例,真空预备室/工厂介面402可包含一晶圆传送盒(FOUP)。机械手臂(robot)414用于使基板(及掩膜)在模块间运动,并移入和移出真空预备室402。根据一实施例,模块404可为定位/除气模块。即,在一实施例中模块404可对准基板。应注意,经由基板上的槽口或其他记号,模块404可施行此对准功能以将基板一致性地放置到多个模块中。此外,模块404可具有除气模块的功能,其中基板在任何工艺如本文中所述的沉积工艺之前(或之后),可在模块404中进行除气。根据本发明的一实施例,模块406可为清洁模块。模块406所施行的清洁可为等离子体系或非等离子体系工艺。在一实施例中,该清洁可为蒸气系工艺。该清洁可为干式工艺,但不限于干式清洁工艺,亦可包含半导体工艺中所用的湿式清洁工艺。在模块406中可施行半导体制造操作中所常用的任何传统清洁工艺。例如,可经由模块406进行含氩的溅射清洁或含氢的反应性清洁。根据本发明的一实施例,模块408被称为资料库模块。在模块408中,储存了多个掩膜(亦被称为处理掩膜)。在组合式处理模块中可使用所述掩膜,以将某些图案施加至正在所述模块中接受处理的基板。以下将参照图4来提供资料库模块408的更进一步细节。应注意:资料库408及其中所包含的掩膜使特征部能够跨越正受到处理的基板的膜层而作空间上的变化。又,在本文中所述的高效率组合式(HPC)沉积系统中的处理期间,能够在未中断真空的情况下利用跨越多个膜层的空间定义来施行位址隔离工艺。经由不同掩膜来在空间上变化特征部组的能力结合了HPC沉积模块的受控环境工艺,提供了能够利用各种工艺顺序分别或同时评估各种材料成分的强大设备。换言之,模块408与HPC模块的结合致使了工艺顺序与材料及工艺资料库的评估及耦合。
根据本发明的一实施例,模块410包含了HPC物理气相沉积模块。模块410包含遮板库410a及410b。在遮板库410a及410b中可移动的遮板可在平面方向移动,以部分地遮蔽自模块408供应至模块410的掩膜。即,来自资料库模块408的掩膜由机械手臂414而被供给至模块410。遮板库410a及410b包含遮板,遮板能够在平面方向上移动以覆盖提供至模块410的掩膜的一部分。当然,可暴露整个处理掩膜。以下图5至图7更详细地叙述了遮板库410a及410b的功能。应注意:遮板可致使梯度处理(gradient processing)或可用以在处理期间改变曝光图案。又,当结合了资料库模块408所实现的空间上改变特征部的能力时,提供了具有高度弹性的组合/非组合工艺整合设备。
在一实施例中,HPC模块410能够执行方法、操作法、工艺、测试载件(test vehicle)、合成序列、技术或其组合,用以同时、并行或快速连续地:(i)设计,(ii)合成,(iii)处理,(iv)工艺顺序,(v)工艺整合,(vi)装置整合,(vii)分析,或(viii)对不止两种化合物、成分、混合物、工艺或合成条件、或者自上述所衍生出的结构的表征。应注意,测试载件包含但不限制于,用于集成电路装置的设计、工艺建构、工艺认证(qualification)、及工艺控制的物理、电性、光解及/或磁特性装置如测试结构或晶片。
根据本发明的一实施例,模块412为传统的沉积模块。根据本发明的一实施例,模块412可包含用以施行传统物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、快速热处理(RTP)等工艺。因此,当HPC模块410可施行位址隔离工艺时,模块412将在传统技术下施行跨越基板(例如,晶圆)的并行处理。应注意:虽然图2显示了模块的特定结构,但此结构旨不在限制本发明。即,只要包含HPC沉积模块如模块410,模块的任何组合皆可被包含于HPC沉积系统中。因此,图2的处理系统有许多可行结构。应注意:在一实施例中,资料库模块408所提供的功能性可经由处理掩膜的储存所专属的真空预备室模块所提供。
本领域技术人员应了解,控制器可控制本文中所指的操作及工艺。即,某工艺的配方被程序化至控制器的存储器中,且控制器通过操控阀件、电源、机械手臂及集束型设备的模块的其他实体装置来实现所需的功能性,以执行该配方。控制器可为计算系统的一部分,计算系统具有用以检视工艺、原位测试的工艺结果及修改配方的图形使用者介面。计算装置将包含中央处理单元(CPU)、存储器、用于存储器和CPU之间通信以及输入/输出能力的总线、以及显示器。在一实施例中,中央化的控制器即计算装置411可控制HPC系统的工艺。或者,每一模块可具有与中央化计算装置411通信的控制器。当然,某些模块可具有局部控制器但其他模块经由中央化的计算装置411来加以控制。
框架400内的环境受到控制,以提供不会损害正在施行的处理操作的环境。在一实施例中,该环境可在受控制的惰性环境中操作。例如,可用泵将氧抽出环境并以惰性气体代替。例如,可被泵抽入以代替氧气的气体可为氩气、氮气及不会与基板处理操作进行负面反应的其他惰性气体。在此实施例中,在接续的工艺之前及/或之间,氧气被移除至能够充分避免已处理的基板产生任何氧化的程度。在另一实施例中,框架400内的环境被维持在一真空。在此实施例中,模块内的压力可被维持在介于约1托至约10-10托之间。应注意,可在一开始时将环境泵抽至某一真空程度,接着将处理气体注射至各个处理室中以维持一真空状态。又,通过在一开始泵抽至一低压如约10-6至10-10托,基本上可移除任何存在的污染物。当在一实施例中单一框架为气密时,环境受到控制及保护而不会有任何污染物突破框架环境。
当然,在另一实施例中可将环境维持在一正压,应注意,当根据正在施行的处理操作来维持环境的控制时所提供的实际范围为例示性且旨不在限制本发明。本领域技术人员应了解,可利用各种技巧来控制水气、湿度、微粒物质、温度、压力及任何其他的环境特性,以致使基板与掩膜在模块间及经由框架环境移动,却不会将有害的影响导入基板、掩膜、正施行于基板上的工艺及/或由所述工艺所形成的结构。
图3为图2中所示的集成型高效率组合式(HPC)沉积系统的另一实施例。在图3中,两主框架400-1及400-2被连接在一起(见例如美国专利5,186,718及6,977,014,亦已知为具有两主模块的单一集束型设备),以提供根据本发明的一实施例的具有多个处理模块的设备。在一例示性实施例中,主框架40-1周围具有集束型的真空预备室(load lock)402、定位/除气模块404、清洁模块406、资料库模块408-1及HPC模块410-1。机械手臂414-1提供基板及/或掩膜在处理模块间的传输及移动,以及进入及离开集束型设备。模块500-1及500-2提供与主框架400-1及主框架400-2相关的系统间的穿越能力。又,模块500-1及500-2可提供正在两主框架400-1及400-2间传送的基板及/或掩膜的定位能力。主框架400-2周围具有集束型的多个处理模块。模块包含传统工艺(例如,沉积、表面备制、工艺等)模块412-1及传统处理模块412-2。HPC模块410-2及HPC模块410-3亦被提供作为处理模块。根据本发明的一实施例,模块408-2为容纳多个处理掩膜的资料库模块。
传统处理模块可包含物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强原子层沉积(PEALD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、离子诱发原子层沉积(II-ALD)、自由基增强原子层沉积(REALD)等及相关的模块。传统处理模块亦可包含本领域中广为人知的热、雷射、UV、IR、微波、电子束、离子及其他形式的处理模块。
在一实施例中,HPC模块中的至少之一被用以施行物理气相沉积(PVD)。在其他实施例中,HPC模块中的至少之一被用以施行下列的至少之一:化学气相沉积(CVD)、等离子体增强原子层沉积(PEALD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、离子诱发原子层沉积(II-ALD)、自由基增强原子层沉积(REALD)、热处理、雷射工艺、UV工艺、IR工艺、微波工艺、电子束工艺及离子工艺。
图3被提供以显示另一实施例,其中多个主框架被整合在一起,以提供更进一步的组合及变化。应注意,可将任何数目的主框架整合在一起以提供能够支持不同处理操作数目的额外处理模块。在资料库408-1及408-2内,其中所提供的掩膜能够提供不同的几何形状,俾使在基板处理期间于未中断真空的情况下可跨越膜层定义特征部。或者,一资料库模块可利用模块500-1及500-2作为穿越室以将提供掩膜组提供予整个沉积系统。因此,本文中所述的实施例不仅仅允许多个材料受到测试,更允许步骤序列(sequence of steps)即工艺顺序亦可被包含在测试矩阵中。即,本文中所述的系统允许跨越基板多个膜层的组合式工艺及非组合式工艺,使不仅仅是不同材料可被包含及评估,且工艺及工艺顺序亦可被更改以决定出最优的工艺顺序。工艺顺序可包含处理步骤的顺序及所述步骤的对应操作条件如在物理气相沉积(PVD)的情况下为温度、压力、气体流量、气体物种、气体比例、功率、时间、占空率(duty cycle)、频率等以及与半导体处理操作相关的任何其他工艺参数。应注意,资料库模块408-1及408-2所提供的掩膜可在资料库模块本身中进行定位,或在定位模块500-1或500-2中进行定位。又,图3为经由本文中所述的实施例的模块组合所提供的各种结构的例示图。
图4的简化图显示了根据本发明的一实施例的资料库模块。资料库模块408包含储存于其中的多个掩膜600。根据本发明的一实施例,掩膜600可搁放在对应的搁架602上。然而根据本发明的一实施例,掩膜600可搁放在用以支撑资料库模块内的掩膜的各种其他结构上。即,掩膜可搁放在能使机械手臂接取掩膜600的任何适当结构上。当然,该结构被适合用于洁净的环境且与掩膜材料相匹配。根据本发明的一实施例,模块408能够旋转移动及垂直移动。本领域技术人员当注意,任何适当的机械手臂皆能提供垂直高度控制及绕着轴604的旋转。储存在资料库模块408中的掩膜被移入及移出模块如组合式处理模块。掩膜600上具有各种图案及特征尺寸,例如图5A-1至5A-3及5B-1至5B-3中所示。如上所述,在一实施例中真空预备室模块可代替资料库模块。
图5A-1至5A-3及5B-1至5B-3显示了可被储存于图4的资料库模块内的例示性掩膜图案。应注意,所示的掩膜图案为例示性而旨不在限制本发明,根据工艺需求可使用任何数目的几何形状及/或特征尺寸性能不同的不同掩膜图案。掩膜图案可包含但不限制于,开口数目、开口尺寸、开口形状、开口位向、开口位置及开口分布等不同的图案。
在图5A-1中,提供具有多行的掩膜图案。图5A-2的图案包含具有横跨基板的多列的图案。图5A-3的图案包含遍布整个掩膜的多个圆形。又,应注意,可利用操控上述遮板库中的遮板,以暴露图5A-1至5A-3所示的掩膜的一部分。例如,关于图5A-3,遮板可遮蔽所述圆形的一部分以使掩膜可经由遮板位置来加以改变。应注意,各种其他图案及形状/几何形状可被记录于掩膜上,且图5A-1至5A-3中所示的三种图案仅为例示性而旨不在限制本发明。在一实施例中,单一掩膜上的特征部的图案可随着掩膜的不同部分而改变尺寸。
根据本发明的一实施例,图5B-1至5B-3显示了可转移的掩膜的组合。在图5B-1至5B-3中,一开始利用金属掩膜来定义图5C的第一金属层620。接着利用图5B-2的绝缘体掩膜来定义图5C的绝缘体层622。最后利用图5B-3的金属掩膜来定义图5C的上金属层624。此产生出图5C中所示跨越正被处理中的基板的多个膜层的图案,而定义出功能性的金属-绝缘体-金属电容结构。应注意,通过使用可转移的掩膜会使得膜层622的绝缘体面积相对地大于膜层620的金属1面积,且会使得膜层624的金属2面积相对地小于膜层622的绝缘体面积,俾以保证金属1与金属2面积之间有适当的电绝缘。
图5C为根据本发明的一实施例的位址隔离处理期间能够被形成的图型的简化示意图,其中该图型具有跨越基板上的多个膜层或跨越在基板上施行的多个处理步骤的可变空间定义。图5C显示了可具有存储器元件功能的金属-绝缘体-金属结构。金属层624被设置于绝缘体层622之上,因此设在金属层620之上。如所示,在多个膜层的每之一之间(620至622及622至624)设有空间变化,以提供每一层之间及上与下电极之间的绝缘,以避免任何短路。本领域技术人员应了解,梯度方法无法完成此几何形状。图5B-1至5B-3的掩膜图型达完成了图5C的结构,且将掩膜送入及送出HPC模块的能力致使了此工艺。又,相对于梯度技术无法评估,在此方法中膜层620、622及624所定义的结构间的介面可受到评估。
如上所述,掩膜可自资料库模块而送入及送出组合式模块,且框架区域内的环境避免了任何真空中断或暴露至不利的环境,因此可在无有害的物理、机械、化学、电性、光学、磁性等利害性质的扰动及/或任何其组合的情况下,评估工艺顺序。在形成集成电路的实际结构时,资料库模块内的不同掩膜及将所述掩膜送入及送出处理室的能力能够将变异导入至工艺顺序中。即,可获得任何半导体结构如图6C的MIM、或沟槽、通孔、晶体管、罩盖层、阻障层、粘着层等的评估。应注意,经由具有可转移的掩膜的系统所允许的变异包含了:周期性、特征尺寸、特征形状、特征分布、开口百分率、位向及/或其任何组合。又,处理操作可结合组合式工艺及传统工艺及两者的组合。例如,可利用单一掩膜以接续的方式来处理基板面积的一部分。在本发明的一实施例中,可利用相同的掩膜来接续地处理基板的四分的一区域。因此,从一方面,依序地处理四个四分之一区域,但定义每一四分之一区域的子区域在被以并行方式处理。
图6A及6B显示具有根据本发明的一实施例的遮板库的HPC模块。在图6A中,处理模块410包含遮板库410a。遮板库410a可包含固定式或可移动式的遮板。即,遮板库410a可用以支撑或容纳固定式遮板,此固定式遮板被用以遮蔽处理模块410内的掩膜(或基板)的半部或某一其他固定部分。或者,遮板库410a可用以支撑可移动式的遮板,此可移动式遮板被用以遮蔽处理模块410内的掩膜(或基板)的任何部分或不遮蔽。应注意,处理模块410为根据本发明一实施例的上述高效率的组合式沉积模块。在图6A中,处理模块410具有单一遮板库410a。或者,图6B显示具有多个遮板库410a及410b的处理模块410。应注意,如参照图6A所述,遮板库410a及410b可储存固定式或可移动式遮板。
此外,虽然图6B的遮板库被以彼此对向的方式设置,但在本发明的一实施例中,一遮板库相对于另一遮板库可为90度。当然,遮板库可以任何位向或组合位向偏离处理模块。又,例如固定式掩膜可自资料库模块传送至HPC模块中。或者在允许此类情况的实施例中,掩膜可留在遮板库中以消除对于资料库模块的需求。在掩膜留在遮板库中的实施例中,可在掩膜上定义多个图型及几何形状,且经由掩膜的旋转可实现具有跨越多个膜层的空间变化的位址隔离工艺。例如,参考图5B-1至5B-3,单一掩膜可包含图5B-1的图型的一半以及图5B-2的图型的一半。接着,通过遮板的旋转及使用,可实现如图5C中所施行的具有空间变化的位址隔离工艺。当然,掩膜的旋转可经由机械手臂或设置在遮板库内的适当机构来进行。应注意,基板可旋转或独立于掩膜作移动,而仍达到具有空间变化的位址隔离工艺。
图7A至7D显示可经由容纳于根据本发明实施例的图6A与6B的遮板库内的遮板的位向所施加的各种结构。在图7A中,可移动遮板900遮蔽了基板902的部分。可移动遮板900可在平面方向上移动,此平面实质上平行于基板902的平面。此平面方向由箭头904所显示。在图7B中使用两遮板以遮蔽基板902的多个部分。遮板900-1及900-2均遮蔽了基板902的对应端部,藉此使基板902的中央部分裸露。遮板900-1及900-2再次在箭头904所示的方向中移动。本领域技术人员应了解,可使用多种技术来提供遮板900-1及900-2的移动。例如,遮板的一端可固至一延伸臂,此延伸臂根据控制器而移动或步进特定的量。在另一实施例中,遮板900-1及900-2的位置可固定。
虽然图7A及7B显示了遮板为了限制基板裸露所作的移动,但遮板亦可用以限制设置在基板上方的掩膜的裸露。图7C及7D显示了用以遮蔽设置在基板上方的掩膜的一部分的遮板。在图7C中,遮板900遮蔽了掩膜906的一部分。因此,设置在掩膜906下方的基板仅会受到经由掩膜906的裸露部分的工艺。在图7D中,遮板900-1及900-2遮蔽了掩膜906的多个部分。应注意,掩膜906可在处理模块内旋转,且若掩膜上定义了各种图型,则经由根据本发明的一实施例的掩膜的旋可施加该各种图型。在另一实施例中,通过例如旋转将基板支撑于其上的座台或基板支撑件,可旋转基板本身。
图8的流程图显示了根据本发明一实施例的基板的组合工艺用的步骤。在操作905中,可经由市售的设备施行在基板上常进行的传统处理技术如表面备制、表面处理、沉积或蚀刻技术。应注意,操作950为选择性的。在一实施例中,可对基板提供全面性的沉积(blanket deposition)或任何先前已施行过的其他处理操作。接着,此方法进行至操作952,在此操作中于真空条件下在基板的一离散区域上施行第一位址隔离沉积。第一位址隔离沉积覆盖了基板的第一区域。在此处,依图2及3所述的HPC沉积系统可实现此位址隔离工艺。接着此方法进行至操作954,在操作954中在未中断真空的情况下于基板的一离散区域上方施行第二位址隔离沉积。第二位址隔离沉积覆盖了大于第一区域的第二区域。在操作954中,进行了工艺顺序整合,其中操作952铺设下第一膜层,而操作954将第二膜层铺设于第一膜层上方。然而,由于改变掩膜及维持真空条件的能力,第二位址隔离沉积覆盖了整个第一区域及超过第一区域的某额外面积。在一实施例中,可在操作954中施行全面性的沉积操作,且此操作可提供具有空间变化的绝缘膜层。
接着图8的方法进行至操作956,在操作956中于未中断真空的情况下在基板的该离散区域上方施行第三位址隔离沉积。第三位址隔离沉积覆盖了小于第二区域的第三区域,以使第三位址隔离沉积通过第二位址隔离沉积而与第一位址隔离沉积绝缘。应注意,通过维持真空,基板不会暴露至任何氧化条件,且工艺被于相同的系统中进行。图8的实施例产生了在一实施例中图5C的结构。在此实施例中,金属与绝缘体膜层间具有绝缘,且上与下金属电极间具有绝缘。应注意,在上述的每一步骤之间可施行材料及工艺顺序的测试。更应注意,图8所讨论的操作顺序旨不在限制本发明。因此,全面性的步骤可为选择性的,且甚至在其他时机施行,例如,可自供应商采购具有全面性膜层的晶圆(blanket wafer)。此外,全面性沉积工艺可在上述的任何工艺之间进行,因此致使了提供具有空间变化的绝缘膜层的其他技术。如上所述,图8的实施例为例示性且旨不在限制本发明。在另一实施例中,两跨越单一膜层的两图型的结构是不同的。
因此,本文中所述的实施例提供了在处理期间毋需真空中断、具有跨越多个膜层的可调整空间定义的组合式晶圆工艺及位址隔离工艺。多个掩膜及在不将工艺元件暴露至有害环境的情况下在处理模块中移动与置换掩膜或旋转掩膜或晶圆,致使了跨越正在处理中的基板的多个工艺顺序的空间位置及几何形状变化。消除真空中断的需要可避免氧化、暴露至空气、水气、污染物或暴露至非洁净或其他有害环境。上述实施例更致使了跨越基板多个膜层的特征部几何形状与位置的原位变化。因此,可使工艺顺序上的变化及此序列所用的材料与工艺的测试更有效率地达到一最优的总工艺顺序总合。工艺顺序的测试可以阶段性的方式进行,其中系统化地将相对大群的材料、工艺及工艺顺序整合候选者限缩至相对小群的材料、工艺及工艺顺序整合候选者。接着,以小规模的工艺环境评估该小群的材料、工艺及工艺顺序整合候选者(如仿造大规模工艺条件时单一晶圆的组合式工艺),以辨识出材料、工艺及工艺顺序整合候选者中的相对小群的最优可能组合。
在下列许多申请专利范围中未特别叙述的额外申请专利范围包含了,在未中断真空的情况下于集束型设备中处理基板的方法,此方法包含了下列步骤:在具有一掩膜的处理室中处理基板,此掩膜具有第一组特征部;自该处理室移出具有该第一组特征部的该掩膜;提供具有第二组特征部的掩膜予该处理室;及在具有一掩膜的处理室中处理基板,此掩膜具有第二组特征部。在一实施例中,第一组特征部与第二组特征部不同。在另一实施例中,第一组特征部与第二组特征部被选自于包含下列者的族群:开口尺寸、开口位向、开口数目、开口位置及开口分布。该方法亦可包含:变化遮板以在第一组特征部与第二组特征部之间切换。在具有第一组特征部的掩膜的处理室中处理基板的步骤包含:利用具有该第一组特征部的掩膜来连续地施行基板的多个部分的位址隔离工艺,其中该连续地施行包含一工艺顺序,此工艺顺序被选自包含串行处理、并行处理及连续与并行处理的某组合的族群,其中该工艺顺序包含组合式处理操作与传统处理操作两者。该方法亦包含:测试自该工艺所原位产生的功能结构;当利用具有第一组特征部的掩膜而于处理室中处理基板时,将具有第二组特征部的掩膜存放于处理室外部;及当利用具有第二组特征部的掩膜而于处理室中处理基板时,将具有第一组特征部的掩膜存放于处理室外部。
本发明的额外主张包含了一种基板处理系统,其中该系统包含:单一主框架,具有连接至其的多个模块;及设于该单一主框架内的传送机构,该传送机构被用以在多个模块间传送基板,其中该多个模块中的至少一模块储存多个掩膜,该多个掩膜致使跨越基板多个膜层的特征部尺寸的原位变化,该多个掩膜更致使跨越了施加至基板的工艺顺序的空间位置与几何形状的原位变化。在一实施例中,多个掩膜中的每之一定义了不同的几何图案。在另一实施例中,多个掩膜中的之一包含了定义第一图案的第一区域及定义第二图案的第二区域。在此处,多个掩膜中的之一的旋转与遮板的结合定义了受到暴露的第一区域或第二区域。该系统在多个处理模块中的至少一模块中包含了多个掩膜中的一掩膜所用的支撑结构,其中该支撑结构可沿着一轴旋转,其中该支撑结构可垂直调整。在一实施例中,多个模块中的剩余之一为用以施行基板的隔离工艺的组合式模块。该组合式模块包含了可移动的遮板,该遮板被用以遮蔽放置在该组合式模块内的多个掩膜中的一掩膜的一部分。该系统包含用以在基板表面上施行传统沉积操作的沉积模块,其中该传送机构被用以在未中断真空的情况下,于该多个模块的沉积模块与该剩余模块之间传送基板。在此系统中,工艺顺序整合施行时,同时维持一受到控制的环境。在另一实施例中,提供多个组合式模块。
本发明的其他组主张包含了一半导体处理系统,其具有以集束型方式围绕着一框架的多个处理模块,其中该多个处理模块中的至少之一被用以储存多个掩膜,该多个掩膜中的每之一具有定义于其上的掩膜图案,该多个处理模块包含用以在多个掩膜间循环以在基板的连续平面层次上施行处理操作的一组合式处理模块,其中该多个掩膜与该基板被持续停留在框架区域内所定义的受受控环境中。该组合式处理模块包含了可移动的遮板,遮板被用以遮蔽被放置到组合式处理模块中的掩膜的一部分。在另一实施例中,组合式处理模块通过在多个处理模块的至少之一与该组合式模块间交换掩膜而在多个掩膜间循环。在另一实施例中,该受控制的环境控制了氧气量、水气量及微粒量中的一或多者,其中该框架区域包围了该多个处理模块。多个处理模块可包含用以沉积跨越基板表面的材料层的传统沉积模块,其中该系统包含位于框架的中央区域内的传送机构,该传送机构能接取该多个处理模块中的每之一。在一实施例中,该系统被与另一系统整合,该另一系统具有以集束型方式围绕着框架的其他多个处理模块,该其他多个处理模块包含另一组合式处理模块。该组合式处理模块被用以施行选自包含下列者的族群的处理操作:物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、离子诱发原子层沉积(II-ALD)、自由基诱发原子层沉积(REALD)、热处理,电射工艺,紫外光(UV)工艺、红外光(IR)工艺,微波工艺、电子束工艺及在另一实施例中的离子工艺。
本发明的仍另一主张包含了一种组合工艺用的沉积系统,其包含:用以接收至少一基板的单一主框架;连接至该单一主框架的多个处理模块,该多个处理模块包含一资料库模块与一组合式沉积模块,该资料库模块储存处理掩膜,而该组合式沉积模块利用具有不同组特征部的处理掩膜来在沉积之间未中断真空的情况下将至少两层材料以位址隔离的方式沉积至基板上;及设置于该单一主框架中的搬运机,该搬运机被用以在多个处理模块之间移动至少一基板并资料库模块与组合式沉积模块之间移动处理掩膜。多个处理模块及搬运机被包围于框架区域中,其中在一实施例中,框架区域提供了受控制的环境。受控制的环境包含控制氧气量、水气量及微粒污染量中的一或多者。在另一实施例中,资料库模块被用以沿着一轴旋转并在垂直方向移动。
本文中所述的构成本发明的部分的任何操作为有用的机台操作。本发明亦关于施行所述操作的一种装置或设备。为了所需的目的可特别建构设备,或该设置可为通过储存于电脑中的电脑程序所选择性活化或致动的通用电脑。尤其,可使用各种内部具有根据本发明教示所撰写的电脑程序的通用机台,或更方便地是建构更特制化的设备,以施行所需的操作。
虽然为了使本发明能被更清楚地了解已详细地叙述了本发明,但应明白,在随附申请专利范围的范畴内可施行某些变化及修改。因此,本发明的实施例应被视为说明性而非限制性者,且本发明并不受限于此文中所给的细节,在随附的申请专利范围的范畴及等效物内可修改本发明。除非在申请专利范围中有明确地指出,否则元件及/或步骤并不意味着操作的任何特定顺序。

Claims (20)

1.一种组合式处理基板的方法,其包含下列的步骤:
在真空下处理包括第一金属层的基板以在所述第一金属层上形成包括位址隔离区域的绝缘层,其中所述处理通过改变所述第一金属层和所述绝缘层之间的尺寸关系而使所述绝缘层相对于所述第一金属层在空间上变化;以及
在真空下处理所述基板以在所述绝缘层上形成第二金属层,其中所述第二金属层与所述绝缘层之间具有变化的尺寸关系以定义多个电绝缘的金属-绝缘体-金属(MIM)结构,其中所述MIM结构组合式变化。
2.根据权利要求1所述的组合式处理基板的方法,还包括:处理所述基板以通过由沉积方法所施行的全面性的沉积来形成所述第一金属层,所述沉积方法选自由ALD、PVD和CVD组成的族群中。
3.根据权利要求1所述的组合式处理基板的方法,还包括:接收包括所述第一金属层的所述基板。
4.根据权利要求1所述的组合式处理基板的方法,还包括:处理所述基板以通过沉积所述第一金属层的位址隔离区域来形成所述第一金属层。
5.根据权利要求4所述的组合式处理基板的方法,其中,沉积所述第一金属层的位址隔离区域包括使用ALD顺序沉积分区。
6.根据权利要求1所述的组合式处理基板的方法,其中,处理所述绝缘层的位址隔离区域包括使用组合式ALD顺序沉积分区。
7.根据权利要求1所述的组合式处理基板的方法,其中,处理所述绝缘层的位址隔离区域包括使用组合式PVD连续沉积所述位址隔离区域。
8.根据权利要求1所述的组合式处理基板的方法,其中,所述基板被处理成在所述第一金属层、所述绝缘层以及第二金属层之间提供可变的空间定义,从而在所述层的每一个层之间和所述第一层和第三层之间形成电绝缘。
9.根据权利要求8所述的组合式处理基板的方法,其中,处理所述 基板以在所述层之间提供可变的空间定义包括:
使所述绝缘层形成比所述第一金属层小的尺寸;以及
使所述第二金属层形成比所述绝缘层小的尺寸。
10.根据权利要求8所述的组合式处理基板的方法,其中,处理所述基板以在所述层之间提供可变的空间定义包括:
使所述绝缘层形成比所述第一金属层大的尺寸;以及
使所述第二金属层形成比所述绝缘层大的尺寸。
11.根据权利要求1所述的组合式处理基板的方法,其中,处理所述基板包括形成所述第二金属层的位址隔离区域,所述位址隔离区域彼此电绝缘。
12.根据权利要求1所述的组合式处理基板的方法,还包括对所述基板施行热处理。
13.根据权利要求1所述的组合式处理基板的方法,其中,处理所述基板以在所述绝缘层上形成所述第二金属层、其中所述第二金属层与所述绝缘层之间具有变化的尺寸关系包括:
通过全面性的沉积来沉积所述第二金属层;
蚀刻所述第二金属层以形成所述第二金属层的位址隔离区域,所述第二金属层的位址隔离区域小于所述绝缘层的位址隔离区域。
14.根据权利要求1所述的组合式处理基板的方法,其中,处理所述基板以形成所述绝缘层包括利用PVD通过掩膜沉积所述绝缘层以形成所述绝缘层的位址隔离区域,其中所述绝缘层的位址隔离区域比所述第一金属层的位址隔离区域的尺寸小;以及其中,处理所述基板以形成所述第二金属层包括利用PVD通过掩膜沉积所述第二金属层以形成所述第二金属层的位址隔离区域,其中所述第二金属层的位址隔离区域比所述绝缘层的位址隔离区域的尺寸小。
15.根据权利要求1所述的组合式处理基板的方法,其中,处理所述基板以形成所述绝缘层包括利用ALD顺序沉积所述绝缘层的四分之一区域以形成所述绝缘层的位址隔离区域,其中所述绝缘层的位址隔离区域比所述第一金属层的位址隔离区域的尺寸小;以及其中,处理所述基 板以形成所述第二金属层包括利用PVD通过掩膜沉积所述第二金属层以形成所述第二金属层的位址隔离区域,其中所述第二金属层的位址隔离区域比所述绝缘层的位址隔离区域的尺寸小。
16.根据权利要求1所述的组合式处理基板的方法,其中,处理所述基板以形成所述绝缘层包括利用PVD通过掩膜沉积所述绝缘层以形成所述绝缘层的位址隔离区域,其中所述绝缘层的位址隔离区域比所述第一金属层的位址隔离区域的尺寸大;以及其中,处理所述基板以形成所述第二金属层包括利用PVD通过掩膜沉积所述第二金属层以形成所述第二金属层的位址隔离区域,其中所述第二金属层的位址隔离区域比所述绝缘层的位址隔离区域的尺寸大、并且与所述第一金属层电绝缘。
17.根据权利要求1所述的组合式处理基板的方法,还包括:对所述第一金属层、所述绝缘层和所述第二金属层中至少之一处理之后原位测试所述基板。
18.一种组合式处理基板的方法,其包含下列的步骤:
在集束型设备中接收基板,其中所述集束型设备包括第一组合式处理室和第二组合式处理室;
在所述第一组合式处理室中处理所述基板以在所述基板上沉积多个位址隔离区域;以及
在所述第二组合式处理室中处理所述基板以沉积相对于所述位址隔离区域在空间上变化的层,从而形成多个个体的组合式变化的装置,其中接收所述基板、在所述第一组合式设备中处理所述基板、以及在所述第二组合式设备中处理所述基板是在未中断真空的情况下施行的。
19.根据权利要求18所述的组合式处理基板的方法,还包括:在所述集束型设备的传统处理室中处理所述基板。
20.根据权利要求18所述的组合式处理基板的方法,其中,在所述第一处理室中处理所述基板包括在组合式PVD处理室中处理,以及在所述第二处理室中处理所述基板包括在组合式ALD处理室中处理。 
CN2010106135466A 2006-07-19 2007-07-12 用于隔离的与离散的工艺顺序的整合的方法 Pending CN102148134A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US83224806P 2006-07-19 2006-07-19
US60/832,248 2006-07-19
US11/672,478 US7867904B2 (en) 2006-07-19 2007-02-07 Method and system for isolated and discretized process sequence integration
US11/672,473 2007-02-07
US11/672,478 2007-02-07
US11/672,473 US8815013B2 (en) 2006-07-19 2007-02-07 Method and system for isolated and discretized process sequence integration

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNA2007800265640A Division CN101490834A (zh) 2006-07-19 2007-07-12 用于隔离的与离散的工艺顺序的整合的方法与系统

Publications (1)

Publication Number Publication Date
CN102148134A true CN102148134A (zh) 2011-08-10

Family

ID=38971984

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010106135466A Pending CN102148134A (zh) 2006-07-19 2007-07-12 用于隔离的与离散的工艺顺序的整合的方法

Country Status (3)

Country Link
US (5) US8815013B2 (zh)
CN (1) CN102148134A (zh)
TW (1) TWI411038B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8815013B2 (en) * 2006-07-19 2014-08-26 Intermolecular, Inc. Method and system for isolated and discretized process sequence integration
US8882917B1 (en) 2009-12-31 2014-11-11 Intermolecular, Inc. Substrate processing including correction for deposition location
US7824935B2 (en) * 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US7947531B1 (en) 2008-08-28 2011-05-24 Intermolecular, Inc. Combinatorial evaluation of dry semiconductor processes
US8632690B2 (en) 2011-11-29 2014-01-21 Intermolecular, Inc. Method and apparatus for preventing native oxide regrowth
US8709270B2 (en) 2011-12-13 2014-04-29 Intermolecular, Inc. Masking method and apparatus
US8575027B1 (en) 2012-06-26 2013-11-05 Intermolecular, Inc. Sputtering and aligning multiple layers having different boundaries
US20140041587A1 (en) * 2012-08-10 2014-02-13 Shenzhen China Star Optoelectronics Technology Co. Ltd. Masking Device for Vapor Deposition of Organic Material of Organic Electroluminescent Diode
CN102776473B (zh) * 2012-08-10 2014-10-29 深圳市华星光电技术有限公司 有机电致发光二极管有机材料蒸镀用掩模装置
US8663397B1 (en) * 2012-10-22 2014-03-04 Intermolecular, Inc. Processing and cleaning substrates
US20150064361A1 (en) * 2013-09-04 2015-03-05 Intermolecular Inc. UV treatment for ALD film densification
WO2015063103A1 (de) * 2013-10-29 2015-05-07 Leybold Optics Gmbh Vakuumkammer und verfahren zum betrieb einer vakuumkammer
US9318306B2 (en) 2013-12-20 2016-04-19 Intermolecular, Inc. Interchangeable sputter gun head
US9297775B2 (en) 2014-05-23 2016-03-29 Intermolecular, Inc. Combinatorial screening of metallic diffusion barriers
JP6373150B2 (ja) * 2014-06-16 2018-08-15 東京エレクトロン株式会社 基板処理システム及び基板処理方法
KR102426712B1 (ko) * 2015-02-16 2022-07-29 삼성디스플레이 주식회사 표시 장치 제조 장치 및 표시 장치 제조 방법
KR102334409B1 (ko) * 2015-03-03 2021-12-03 삼성디스플레이 주식회사 마스크 스택 및 그 제어방법
US10520914B2 (en) * 2016-09-19 2019-12-31 Macau University Of Science And Technology Multi cluster tool system and a method of controlling a multi tool cluster system
EP3714080A1 (en) * 2017-12-29 2020-09-30 Microsoft Technology Licensing LLC Fabrication process using vapour deposition through a positioned shadow mask

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1251283B (de) 1967-10-05 Siemens Aktiengesellschaft, Berlin und München, München Vorrichtung zum gleichzeitigen Herstellen einer Vielzahl von einkristallinen Halbleiterkörpern
DE2307649B2 (de) 1973-02-16 1980-07-31 Robert Bosch Gmbh, 7000 Stuttgart Anordnung zum Aufstäuben verschiedener Materialien auf einem Substrat
JPS54103552A (en) * 1978-02-01 1979-08-15 Hitachi Electronics Pattern formation method
US4613981A (en) 1984-01-24 1986-09-23 Varian Associates, Inc. Method and apparatus for lithographic rotate and repeat processing
US6045671A (en) 1994-10-18 2000-04-04 Symyx Technologies, Inc. Systems and methods for the combinatorial synthesis of novel materials
US6004617A (en) 1994-10-18 1999-12-21 The Regents Of The University Of California Combinatorial synthesis of novel materials
US7767627B1 (en) * 1994-10-18 2010-08-03 Symyx Solutions, Inc. Combinatorial synthesis of inorganic or composite materials
US5985356A (en) 1994-10-18 1999-11-16 The Regents Of The University Of California Combinatorial synthesis of novel materials
TW359849B (en) * 1994-12-08 1999-06-01 Tokyo Electron Ltd Sputtering apparatus having an on board service module
US6468806B1 (en) 1996-10-02 2002-10-22 Symyx Technologies, Inc. Potential masking systems and methods for combinatorial library synthesis
US6576906B1 (en) 1999-10-08 2003-06-10 Symyx Technologies, Inc. Method and apparatus for screening combinatorial libraries for semiconducting properties
US7282240B1 (en) * 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
US6344084B1 (en) 1998-09-11 2002-02-05 Japan Science And Technology Corporation Combinatorial molecular layer epitaxy device
US6364956B1 (en) 1999-01-26 2002-04-02 Symyx Technologies, Inc. Programmable flux gradient apparatus for co-deposition of materials onto a substrate
US6830663B2 (en) 1999-01-26 2004-12-14 Symyx Technologies, Inc. Method for creating radial profiles on a substrate
WO2000048725A1 (en) 1999-02-17 2000-08-24 Oxxel Oxide Electronics Technology, Inc. Method for preparation of libraries using a combinatorial molecular beam epitaxy (combe) apparatus
TW446858B (en) * 1999-04-21 2001-07-21 Asm Lithography Bv Lithographic projection apparatus, method of manufacturing a device using such a lithographic projection apparatus, and device made by such a method of manufacturing
US6675469B1 (en) 1999-08-11 2004-01-13 Tessera, Inc. Vapor phase connection techniques
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6491759B1 (en) * 2000-03-14 2002-12-10 Neocera, Inc. Combinatorial synthesis system
US6911129B1 (en) * 2000-05-08 2005-06-28 Intematix Corporation Combinatorial synthesis of material chips
US7462372B2 (en) * 2000-09-08 2008-12-09 Semiconductor Energy Laboratory Co., Ltd. Light emitting device, method of manufacturing the same, and thin film forming apparatus
EP1350214A4 (en) 2000-12-15 2009-06-10 Symyx Technologies Inc METHODS AND DEVICES FOR PREPARING HIGHLY DIMENSIONED COMBINATION LIBRARIES
US20020137346A1 (en) * 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
JP3811943B2 (ja) * 2001-07-06 2006-08-23 日本精機株式会社 有機elパネルの製造方法。
WO2003058671A2 (en) 2002-01-14 2003-07-17 Universität Augsburg Target end station for the combinatory ion implantation and method of ion implantation
US7247346B1 (en) 2002-08-28 2007-07-24 Nanosolar, Inc. Combinatorial fabrication and high-throughput screening of optoelectronic devices
WO2004035854A2 (en) * 2002-10-15 2004-04-29 Unaxis Balzers Ag Method and apparatus for processing substrates
US8431344B2 (en) 2003-06-30 2013-04-30 Raustech Pty Ltd Micro and nano scale fabrication and manufacture by spatially selective deposition
KR100559792B1 (ko) * 2003-08-29 2006-03-15 한국과학기술원 액적화학증착법을 이용한 박막 또는 분말 어레이 제조 방법
GB2406860A (en) 2003-10-09 2005-04-13 Univ Southampton Vapour deposition method
US8944002B2 (en) * 2004-01-14 2015-02-03 Honda Motor Co., Ltd. High throughput physical vapor deposition system for material combinatorial studies
JP2005294584A (ja) 2004-03-31 2005-10-20 Eudyna Devices Inc 半導体装置および不純物導入用マスクならびに半導体装置の製造方法
KR20060007211A (ko) * 2004-07-19 2006-01-24 삼성전자주식회사 노광 시스템
US7491431B2 (en) 2004-12-20 2009-02-17 Nanogram Corporation Dense coating formation by reactive deposition
US7371022B2 (en) 2004-12-22 2008-05-13 Sokudo Co., Ltd. Developer endpoint detection in a track lithography system
US20060258128A1 (en) 2005-03-09 2006-11-16 Peter Nunan Methods and apparatus for enabling multiple process steps on a single substrate
US7686885B2 (en) * 2005-06-01 2010-03-30 General Electric Company Patterned nanorod arrays and methods of making same
US7871933B2 (en) * 2005-12-01 2011-01-18 International Business Machines Corporation Combined stepper and deposition tool
US7615779B2 (en) * 2006-03-23 2009-11-10 Alcatel-Lucent Usa Inc. Forming electrodes to small electronic devices having self-assembled organic layers
US8815013B2 (en) * 2006-07-19 2014-08-26 Intermolecular, Inc. Method and system for isolated and discretized process sequence integration
US7824935B2 (en) * 2008-07-02 2010-11-02 Intermolecular, Inc. Methods of combinatorial processing for screening multiple samples on a semiconductor substrate
US7947531B1 (en) * 2008-08-28 2011-05-24 Intermolecular, Inc. Combinatorial evaluation of dry semiconductor processes

Also Published As

Publication number Publication date
TW200828435A (en) 2008-07-01
US20120322173A1 (en) 2012-12-20
US20110065284A1 (en) 2011-03-17
US8815013B2 (en) 2014-08-26
US20080017109A1 (en) 2008-01-24
US8283264B2 (en) 2012-10-09
US20080020589A1 (en) 2008-01-24
TWI411038B (zh) 2013-10-01
US8486844B2 (en) 2013-07-16
US7867904B2 (en) 2011-01-11
US20140318450A1 (en) 2014-10-30

Similar Documents

Publication Publication Date Title
CN102148134A (zh) 用于隔离的与离散的工艺顺序的整合的方法
US8906790B2 (en) Combinatorial approach for screening of ALD film stacks
US20150184287A1 (en) Systems and Methods for Parallel Combinatorial Vapor Deposition Processing
US8349143B2 (en) Shadow masks for patterned deposition on substrates
US20140273497A1 (en) Wet Processing Systems and Methods with Replenishment
US20150176124A1 (en) Methods for Rapid Generation of ALD Saturation Curves Using Segmented Spatial ALD
US6786789B2 (en) Apparatus and method for manufacturing organic EL display device
US9040465B2 (en) Dielectric doping using high productivity combinatorial methods
US9082729B2 (en) Combinatorial method for solid source doping process development
US9087864B2 (en) Multipurpose combinatorial vapor phase deposition chamber
US8647466B2 (en) Combinatorial evaluation of dry semiconductor processes
CN101490834A (zh) 用于隔离的与离散的工艺顺序的整合的方法与系统
JP2002537202A (ja) 組み合わせ分子線エピタキシー(combe)装置を使用するライブラリの調製方法
US20130156530A1 (en) Method and apparatus for reducing contamination of substrate
US20130153054A1 (en) Combinatorial Processing Tool
US20130152857A1 (en) Substrate Processing Fluid Delivery System and Method
US20140147593A1 (en) Liquid Cooled Sputter Apertured Shields
US20140183161A1 (en) Methods and Systems for Site-Isolated Combinatorial Substrate Processing Using a Mask
US8980653B2 (en) Combinatorial optimization of interlayer parameters
EP1161986A2 (en) Apparatus for combinatorial molecular beam epitaxy

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20110810