CN101203947A - 采用抬高的源极漏极和替代金属栅极的互补型金属氧化物半导体集成电路 - Google Patents

采用抬高的源极漏极和替代金属栅极的互补型金属氧化物半导体集成电路 Download PDF

Info

Publication number
CN101203947A
CN101203947A CNA2006800221845A CN200680022184A CN101203947A CN 101203947 A CN101203947 A CN 101203947A CN A2006800221845 A CNA2006800221845 A CN A2006800221845A CN 200680022184 A CN200680022184 A CN 200680022184A CN 101203947 A CN101203947 A CN 101203947A
Authority
CN
China
Prior art keywords
gate electrode
method comprises
source drain
formation
stop layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800221845A
Other languages
English (en)
Other versions
CN101203947B (zh
Inventor
J·卡瓦利洛斯
A·卡佩拉尼
J·布雷斯克
S·达塔
M·多奇
M·梅茨
C·巴恩斯
R·曹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Google LLC
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101203947A publication Critical patent/CN101203947A/zh
Application granted granted Critical
Publication of CN101203947B publication Critical patent/CN101203947B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明涉及一种互补型金属氧化物半导体集成电路,其可以形成有PMOS器件,而该PMOS器件可利用替代金属栅极及抬高的源极漏极形成。抬高的源极漏极可以由掺杂了P型的外延沉积锗硅材料形成。替代金属栅极过程产生了金属栅电极,并且可能会涉及到氮化物蚀刻阻止层的去除。

Description

采用抬高的源极漏极和替代金属栅极的互补型金属氧化物半导体集成电路
背景技术
本发明大体上涉及集成电路的制造。
在CMOS技术中,为了提高NMOS和PMOS深亚微米晶体管的性能,现有技术在PMOS晶体管的沟道中使用压应力,而对NMOS晶体管则使用拉应力。
使用应变沟道的现有技术受到很多限制。例如在PMOS器件中可能产生多晶硅耗尽效应。另外,在PMOS器件中可能会发生拉应变。剩余的拉应变降低PMOS器件的空穴迁移率。
因此,需要一种更好的互补型金属氧化物半导体的制造工艺,特别是一种能提高PMOS器件性能的工艺方法。
附图简述
图1是处于制造初期阶段的PMOS晶体管的放大的截面图;
图2是处于下一个制造阶段的PMOS晶体管的放大的截面图;
图3是根据本发明的一个实施例的处于图2所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图4是根据本发明的一个实施例的处于图3所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图5是根据本发明的一个实施例的处于图4所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图6是根据本发明的一个实施例的处于图5所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图7是根据本发明的一个实施例的处于图6所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图8是根据本发明的一个实施例的处于图7所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图9是根据本发明的一个实施例的处于图8所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图10是根据本发明的一个实施例的处于图9所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图11是根据本发明的一个实施例的处于图10所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图12是根据本发明的一个实施例的处于图11所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图13是根据本发明的一个实施例的处于图12所示制造阶段的下一个阶段的PMOS晶体管的放大的截面图;
图14显示了一个NMOS晶体管的实施例,该NMOS晶体管用来与根据本发明的一个实施例的如图13所示的PMOS晶体管一起使用。
详细说明
互补的一对的PMOS晶体管的制造如图1-13所示而进行。在一个实施例中,在NMOS侧和PMOS侧这二者上,都可以沉积二氧化硅栅极氧化物105。该栅极氧化物105可以被栅极材料104例如多晶硅覆盖,并接着被硬质掩膜130覆盖,以用于生成图案(patterning)。然后栅极材料104和栅极介电部(gate dielectric)105,例如氧化物,被生成图案,以产生PMOS侧10a上的图1所示结构。栅极介电部可能约为15埃厚,并且在一个实施例中可以进行热生长。
衬底100可以包括块硅或者介电部上的硅(Silicon-on-insulator)的子结构。作为备选方案,衬底可以包括其它材料,这些材料可以和硅结合,也可以不和硅结合,所述的这些材料例如包括:锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓或者锑化镓。尽管这里描述了一些可以形成衬底100的材料的例子,但是,任何可以作为半导体器件基底的材料都落在本发明的精神与范畴内。浅槽隔离区20可以由二氧化硅或其它可以把晶体管的有源区隔离开来的材料形成。
栅极材料104可以包括多晶硅,并且在一个实施例中可以是例如约100到约2000埃厚以及约500到约1600埃厚。硬质掩膜130可以包括氮化硅,并且在一个实施例中可以是例如约100到约500埃厚以及约200到约350埃厚。
尖端掺杂的或稍微掺杂的源极漏极(source drain)60可以采用作为掩膜的栅极结构来形成。可以使用离子注入法来形成源极漏极60。
当栅极材料104包括多晶硅,而硬质掩膜130包括氮化硅时,图1中的结构可以按下面的方法来制造。在衬底100上形成可能包括二氧化硅的伪介电层(例如通过传统的热生长工艺),接着在介电层上形成多晶硅层(例如通过传统的沉积工艺)。利用传统的沉积技术,氮化硅层形成于多晶硅层上。氮化硅、多晶硅和伪介电层(dummydielectric layer)被生成图案,以形成图案化的氮化硅层、图案化的多晶硅层,以及图案化的介电层。当介电层由二氧化硅构成时,可以应用常规的蚀刻方法来对多晶硅以及伪介电层进行图案化。
氮隔离层材料134可以被沉积上去(图2),并且被各向异性地进行蚀刻,来形成侧壁隔离层(spacer)108、109,见图3。隔离层108、109可以达到1000埃数量级的厚度。
沟道(trench)24形成于衬底100中,见图4。沟道24可以通过利用SF6化学药剂的反应性离子蚀刻来形成。蚀刻在一侧上被介电层20抑制,而在一个实施例中,在另一侧上并没有大致各向同性地对栅极结构进行底切。因此可在沟道24的内边缘上产生各向同性的蚀刻轮廓,见图4,而留下一部分被稍微掺杂的源极漏极60。在该步骤中,NMOS侧10b可能会被氧化物掩膜(未显示)覆盖。
然后,可以生长外延硅锗源极漏极40,其填充了沟道24并且如图5中所示地在其上延伸。沟道24可以用含有10-40原子百分比的锗的硅锗来填充。可以通过利用乙硼烷源的原位掺杂来进行源极漏极掺杂。该外延源极漏极40只在沟道24中生长,因为所有其它的材料都被掩膜掩盖或覆盖了。该源极漏极40升高并继续生长直到面(facet)会合。在一些实施例中,可以接着使用源极漏极注入。
如图6所示,在把NMOS侧的掩膜去除之后,可以把图3的结构用介电层112覆盖,介电层112例如是介电常数较低的材料如氧化物和氮化物的蚀刻阻止层(NESL)120。该层112可以掺入磷、硼或者其它材料,它可以由高浓度的等离子体沉积而成。然后该介电层112可以被平面化(planarize)降低到栅极材料104的上表面,从而将硬质掩膜130以及NESL120去除,如图7所示。该层120可以是氮化物。它作为蚀刻阻止层和张力层来辅助NMOS侧,但是可能会由于产生了应变而使PMOS侧10a性能降低。因此把PMOS侧的NESL120去除,可以提高性能。
如图8所示,可以去除栅极材料104而在剩余的栅极氧化物105上形成沟道113。去除栅极材料104可以通过很多方法来实现,例如相对于NMOS晶体管的栅极材料对栅极材料104进行选择性的蚀刻,或者在图8所示的工艺过程中掩蔽NMOS晶体管。
去除栅极材料104,来产生位于侧壁隔离层108、109之间的沟道113,从而产生如图8中所示的结构。在一个实施例中,湿蚀刻方法对位于相应的NMOS晶体管材料(未显示)上的材料104是选择性的,可以应用此方法来去除材料104,而不会去除NMOS材料的主要部分。
在一些实施例中,可以对该层104进行有选择的去除。在一个实施例中,层104以充分的时间和充分的温度(例如约为60℃到90℃)暴露于包括了按体积计算约20%到30%的四甲基氢氧化铵(TMAH)的去电离的水溶液中,应用声能去除所有的层106,同时不会去除任何NMOS晶体管结构(未显示)的主要部分。
作为备选方案,可以应用干蚀刻方法来选择性地去除层104。当栅极层104是掺杂的P型(例如带有硼),这样一种干蚀刻方法可以包含:把牺牲性的栅电极层104暴露在源自六氟化硫(″SF6″)、溴化氢(″HBr″)、碘化氢(″HI″)、氯、氩、及/或氦的等离子体中。这样的选择性的干蚀刻方法可以在平行金属板反应器或者电子回旋共振蚀刻器中进行。
在去除材料104之后,去除介电层105。当介电层105由二氧化硅组成时,介电层105可以利用蚀刻工艺而去除,这种蚀刻工艺对于二氧化硅来说可以选择性地产生图9所示的结构。这样的蚀刻工艺包括:把层105暴露于含有约1%的去电离的氢氟酸(HF)水溶液中,或应用使用基于碳氟化合物的等离子体的干蚀刻工艺。层105可能只暴露有限的时间,因为去除层105的蚀刻工艺过程也会去除一部分的介电层112。应当记住,假如利用基于1%HF的溶液来去除层105,该器件暴露在溶液中的时间不能超过约60秒,例如约30秒或更少。当最初沉积时如果层105不到约30埃厚,则可去除层105,而不去除主要量的介电层112。
接下来,可以将新的栅极介电部114沉积上去并进行平面化,以得到U形形状,其把开口113排齐,如图10所示。尽管栅极介电层114可以包括任何可作为栅极介电部的材料(其中栅极介电部用于包括有金属栅电极的PMOS晶体管),但是,栅极介电层114可以包括介电常数大于10的高电介常数(k)金属氧化物介电部材料。一些可以用来制造高k值的栅极介电部114的材料包括:氧化铪、氧化铪硅,氧化镧,氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、以及铌酸铅锌。尤其适用的金属氧化物包括氧化铪、氧化锆以及氧化铝。尽管这里描述了一些可以用来形成高k值栅极介电层114的金属氧化物的示例,但是,该介电层也可由其它金属氧化物来形成。
利用传统的沉积方法,例如传统的化学气相沉积(″CVD″)、低压CVD、或者物理气相沉积(″PVD″)工艺,可以把高k值栅极介电层114形成于衬底100上。优选利用传统的原子层CVD工艺。在此工艺中,金属氧化物前体(例如金属氯化物)和蒸气以选定的流速引入CVD反应器中,反应器在选定的温度和压力下运行,以在衬底100和高k值栅极介电层114之间产生在原子级别上(atomically)平滑的界面。CVD反应器应运行足够的时间,来形成具有所需厚度的层。在大多数的应用场合中,高k值栅极介电层114可以是例如小于约60埃厚的,并且在一个实施例中厚度为大约5埃到大约40埃。
当原子层CVD工艺被用来形成高k值的栅极介电层114时,除了在沟道113的底部,此层还将形成于沟道的垂直侧上。假如高k值栅极介电层114包括氧化物,那么它可能会在表面上随机的地方出现氧化物空隙(oxygen vacancy)和不受欢迎的不纯程度(这取决于其制造工艺)。可能需要在层114沉积之后去掉它的不纯性,并把它氧化,以产生具有化学当量上近乎理想化的金属:氧化物比的金属氧化物层。
为了从该层上去除不纯性并提高其含氧量,可以对高k值栅极介电层114进行湿化学处理。该湿化学处理可以包含:在足够的温度下,把高k值的栅极介电层114暴露于包括过氧化氢形成的溶液中达充分的一段时间,以去除高k值栅极介电层114的不纯性,并提高高k值栅极介电层114的含氧量。高k值栅极介电层114所暴露于其中的适当时间和温度,可以由所希望的高k值栅极介电层114的厚度和其它性质来决定。
当把高k值的栅极介电层114暴露在基于过氧化氢的溶液时,可以使用按体积计算含约2%到约30%的过氧化氢水溶液。该暴露步骤可以发生在约15℃到约40℃之间,时间最少约一分钟。在一个特别优选的实施例中,把高k值的栅极介电层114暴露于温度为大约25℃的按体积计算约含6.7%H2O2的水溶液里达约10分钟的时间。在该暴露步骤中,希望使用频率在约10KHz到约2000KHz、而以约1Watts/cm2到约10Watts/cm2消散的声能。在一个实施例中,可以应用频率为约1000KHz的以5Watts/cm2消散的声能。
栅极金属115可以沉积到沟道113中,与介电材料112重叠,见图11。可以对栅极金属进行平面化,以形成金属栅电极115,见图12。
P型金属层115可以通过填充沟道113来产生。P型金属层115可以包括任何P型导电材料,由这种P型导电材料可以生出金属PMOS栅电极,并且它为此目的使沟道产生压应变。P型金属层的热膨胀系数可能大于衬底100(例如硅)。适合的金属的示例包括碳化硼、钨、钼、铑、钒、铂、钌、铍、钯、钴、钛、镍、铜、锡、铝、铅、锌、合金以及这些材料的硅化物。在一个实施例中,使用热膨胀系数大于钨的热膨胀系数(0.4×10-5in./in./℃)的材料是有利的。相对较高的沉积温度,例如400℃,可以用在一些实施例中,在槽道中产生压应变,并且提高迁移性。P型金属层115优选具有热稳定特性,以使它适合于制作半导体器件的金属PMOS栅电极。
可以用来形成P型金属层115的材料包括:钌、钯、铂、钴、镍、以及导电的金属氧化物,例如氧化钌。层115的金属可以与金属氧化物介电层105的金属成分相同或不同。P型金属层115可以利用众所周知的PVD或CVD工艺,例如传统的溅射或原子层CVD工艺在栅极介电层105上形成。除了填充沟道113的地方外,其它的P型金属层115部分都被去除。层115可以通过湿蚀刻或干蚀刻工艺、或者适当的CMP操作来从器件的其它部分去除,同时介电部112作为蚀刻或者抛光阻止结构。
P型金属层115可以补偿由硅锗抬高的源极漏极40所带来的阈值电压漂移。可以调节或者选择此金属层115的功函数,以补偿由于使用抬高的源极漏极40而必然导致的阈值电压漂移。一般来说,抬高的源极漏极40导致原子价的升高,并降低了阈值电压。因此,希望使用中隙金属(mid-gap metal)作为层115,其功函数可以补偿阈值电压的漂移。
P型金属层115可以用作功函数为约4.9eV到约5.2eV之间的金属PMOS栅电极,并且可以具有例如约10埃到约2000埃之间的厚度,并且在一个实施例中其厚度为约500埃到约1600埃之间。
接着,图13所示的结构可以通过形成硅化物接触部46和氮化物蚀刻阻止层42来完成。可以在接触部46形成之后提供氮化物蚀刻阻止层42。
在本发明的一些实施例中,外延硅锗抬高的源极漏极40使PMOS沟道产生压应变,以便提高迁移率并降低外部的阻抗。这在一些实施例中可以这样来实现,即通过用硼对源极漏极40进行原位掺杂,并为空穴注入(hole injection)降低肖特级能量势垒,从而改善接触电阻。
在多晶硅开口抛光(图7)和/或用于形成接触部的氮化物蚀刻阻止层42的蚀刻期间,替代金属栅极工艺可以减少多晶硅的耗尽。而同时释放在PMOS器件中的拉应变。通过减少使空穴迁移率降低的拉应变,可有利于PMOS器件。
可以调整取代栅电极115,以用于PMOS晶体管(在使用或不使用高电介常数(大于10)介电部或者栅极介电部114时),以消除多晶硅的耗尽并减少栅极泄露。在替代金属栅极流过程中,在PMOS器件10a上的抛光和/或去除了拉应变的NESL120可以提高PMOS的迁移率。
见图14,NMOS晶体管10b的制造按照传统的技术进行。
例如,NMOS晶体管10b可以具有成梯度的结合部,该成梯度的结合部包括浅的尖端/源极/漏极39以及深的源极漏极22,它可以通过离子注入来制造。在一些实施例中可以引入或不引入应变。在一些实施例中,栅极37是替代金属栅极,而在另一些实施例中可能会采用传统的多晶硅栅极。栅极37可以被硅化物接触部38覆盖。NESL120可以被保留在NMOS侧10b。
尽管只通过有限数量的实施例对本发明进行了描述,但是本领域技术人员可以从中领会到大量的修改和变化。所附的权利要求旨在包括所有这些落入本发明的精神和范围内的修改和变化。

Claims (20)

1.一种方法,包括:
形成替代金属栅极;以及
形成抬高的P型源极漏极。
2.根据权利要求1所述的方法,其特征在于,所述方法包括形成介电常数大于10的栅极介电部。
3.根据权利要求1所述的方法,其特征在于,所述方法包括形成伪多晶硅栅电极,有选择地去除所述伪多晶硅栅电极,以及利用金属栅电极代替所述伪多晶硅栅电极。
4.根据权利要求1所述的方法,其特征在于,所述方法包括形成位于所述伪多晶硅栅电极之上的氮化物蚀刻阻止层。
5.根据权利要求4所述的方法,其特征在于,所述方法包括去除位于互补结构的PMOS侧上的所述氮化物蚀刻阻止层。
6.根据权利要求5所述的方法,其特征在于,所述方法包括形成U形栅极介电部。
7.一个半导体结构,包括:
衬底,所述衬底具有抬高的P型源极漏极;以及
金属栅电极。
8.根据权利要求7所述的结构,其特征在于,所述抬高的源极漏极由硅和锗形成。
9.根据权利要求7所述的结构,其特征在于,所述结构包括U形栅电极。
10.根据权利要求7所述的结构,其特征在于,所述结构包括介电常数大于10的栅电极。
11.一种方法,包括:
形成伪栅电极;
利用氮化物蚀刻阻止层覆盖所述伪栅电极;
去除所述氮化物蚀刻阻止层;
去除所述伪电极,并用金属栅电极来取代所述伪电极;以及
形成外延的P型源极漏极。
12.根据权利要求11所述的方法,其特征在于,所述方法包括形成抬高的源极漏极。
13.根据权利要求11所述的方法,其特征在于,所述方法包括形成介电常数大于10的栅极介电部。
14.根据权利要求11所述的方法,其特征在于,所述方法包括形成U型栅极介电部。
15.根据权利要求11所述的方法,其特征在于,所述方法包括形成P型掺杂硅锗的所述抬高的源极漏极。
16.根据权利要求11所述的方法,其特征在于,所述方法包括在硬质掩膜上形成所述氮化物蚀刻阻止层。
17.根据权利要求11所述的方法,其特征在于,所述方法包括形成多晶硅的所述伪栅电极。
18.根据权利要求11所述的方法,其特征在于,所述方法包括形成互补型金属氧化物半导体集成电路。
19.根据权利要求11所述的方法,其特征在于,所述方法包括利用金属栅电极作掩膜而蚀刻到半导体衬底内,并且通过沉积掺杂了硼的硅锗外延材料来形成所述P型源极漏极。
20.根据权利要求11所述的方法,其特征在于,所述方法包括从PMOS结构上去除氮化物蚀刻阻止层,同时在NMOS结构上保留所述氮化物蚀刻阻止层。
CN2006800221845A 2005-06-21 2006-06-21 采用抬高的源极漏极和替代金属栅极的互补型金属氧化物半导体集成电路 Active CN101203947B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/159,430 2005-06-21
US11/159,430 US7569443B2 (en) 2005-06-21 2005-06-21 Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
PCT/US2006/024517 WO2007002427A1 (en) 2005-06-21 2006-06-21 Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate

Publications (2)

Publication Number Publication Date
CN101203947A true CN101203947A (zh) 2008-06-18
CN101203947B CN101203947B (zh) 2010-10-06

Family

ID=37177886

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800221845A Active CN101203947B (zh) 2005-06-21 2006-06-21 采用抬高的源极漏极和替代金属栅极的互补型金属氧化物半导体集成电路

Country Status (4)

Country Link
US (2) US7569443B2 (zh)
CN (1) CN101203947B (zh)
DE (1) DE112006001705B4 (zh)
WO (1) WO2007002427A1 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102420139A (zh) * 2010-09-25 2012-04-18 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法
CN102479693A (zh) * 2010-11-30 2012-05-30 中芯国际集成电路制造(北京)有限公司 形成栅极的方法
CN102856180A (zh) * 2011-06-30 2013-01-02 中国科学院微电子研究所 一种半导体器件的替代栅集成方法
CN102856178A (zh) * 2011-06-29 2013-01-02 中芯国际集成电路制造(上海)有限公司 金属栅极和mos晶体管的形成方法
CN103000522A (zh) * 2011-09-13 2013-03-27 中芯国际集成电路制造(上海)有限公司 Nmos晶体管的制造方法
CN101908475B (zh) * 2009-06-04 2013-04-03 台湾积体电路制造股份有限公司 制造半导体装置的方法
CN103050457A (zh) * 2011-10-13 2013-04-17 台湾积体电路制造股份有限公司 用于半导体结构接触的隔离件
CN103187285A (zh) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN103811339A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 半导体器件及其制造方法
CN104752185A (zh) * 2013-12-31 2015-07-01 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN108447825A (zh) * 2015-05-27 2018-08-24 格罗方德半导体公司 一种使用密闭外延生长技术形成的半导体装置

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7902008B2 (en) * 2005-08-03 2011-03-08 Globalfoundries Inc. Methods for fabricating a stressed MOS device
US8101485B2 (en) 2005-12-16 2012-01-24 Intel Corporation Replacement gates to enhance transistor strain
US7439120B2 (en) * 2006-08-11 2008-10-21 Advanced Micro Devices, Inc. Method for fabricating stress enhanced MOS circuits
US7416931B2 (en) * 2006-08-22 2008-08-26 Advanced Micro Devices, Inc. Methods for fabricating a stress enhanced MOS circuit
US20080050879A1 (en) * 2006-08-23 2008-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal-containing gate structures
US7442601B2 (en) * 2006-09-18 2008-10-28 Advanced Micro Devices, Inc. Stress enhanced CMOS circuits and methods for their fabrication
US8304342B2 (en) * 2006-10-31 2012-11-06 Texas Instruments Incorporated Sacrificial CMP etch stop layer
US20080124874A1 (en) * 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
JP5380827B2 (ja) 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
KR100825809B1 (ko) * 2007-02-27 2008-04-29 삼성전자주식회사 스트레인층을 갖는 반도체 소자의 구조 및 그 제조 방법
US7642603B2 (en) * 2007-06-29 2010-01-05 Intel Corporation Semiconductor device with reduced fringe capacitance
JP5165954B2 (ja) 2007-07-27 2013-03-21 セイコーインスツル株式会社 半導体装置
DE102007041207B4 (de) * 2007-08-31 2015-05-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE102007046849B4 (de) * 2007-09-29 2014-11-06 Advanced Micro Devices, Inc. Verfahren zur Herstellung von Gateelektrodenstrukturen mit großem ε nach der Transistorherstellung
US7955909B2 (en) * 2008-03-28 2011-06-07 International Business Machines Corporation Strained ultra-thin SOI transistor formed by replacement gate
US7838366B2 (en) * 2008-04-11 2010-11-23 United Microelectronics Corp. Method for fabricating a metal gate structure
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8008145B2 (en) 2008-09-10 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. High-K metal gate structure fabrication method including hard mask
US7994014B2 (en) * 2008-10-10 2011-08-09 Advanced Micro Devices, Inc. Semiconductor devices having faceted silicide contacts, and related fabrication methods
US7768074B2 (en) * 2008-12-31 2010-08-03 Intel Corporation Dual salicide integration for salicide through trench contacts and structures formed thereby
JP5668277B2 (ja) * 2009-06-12 2015-02-12 ソニー株式会社 半導体装置
DE102009039521B4 (de) * 2009-08-31 2018-02-15 Globalfoundries Dresden Module One Llc & Co. Kg Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
US20110140229A1 (en) * 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
US8211772B2 (en) 2009-12-23 2012-07-03 Intel Corporation Two-dimensional condensation for uniaxially strained semiconductor fins
US20110149667A1 (en) * 2009-12-23 2011-06-23 Fatih Hamzaoglu Reduced area memory array by using sense amplifier as write driver
US8368052B2 (en) 2009-12-23 2013-02-05 Intel Corporation Techniques for forming contacts to quantum well transistors
US8283653B2 (en) 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
DE102009055392B4 (de) * 2009-12-30 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterbauelement und Verfahren zur Herstellung des Halbleiterbauelements
TWI487070B (zh) * 2010-07-05 2015-06-01 United Microelectronics Corp 互補式金氧半導體元件的製造方法
CN102376769B (zh) * 2010-08-18 2013-06-26 中国科学院微电子研究所 超薄体晶体管及其制作方法
KR20120019214A (ko) * 2010-08-25 2012-03-06 삼성전자주식회사 반도체 집적 회로 장치
US8558279B2 (en) 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US8647952B2 (en) 2010-12-21 2014-02-11 Globalfoundries Inc. Encapsulation of closely spaced gate electrode structures
DE102011004322B4 (de) * 2011-02-17 2012-12-06 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren zur Herstellung eines Halbleiterbauelements mit selbstjustierten Kontaktelementen und einer Austauschgateelektrodenstruktur
US8574990B2 (en) 2011-02-24 2013-11-05 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gate
US8519487B2 (en) 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
US8361854B2 (en) 2011-03-21 2013-01-29 United Microelectronics Corp. Fin field-effect transistor structure and manufacturing process thereof
US8802524B2 (en) 2011-03-22 2014-08-12 United Microelectronics Corp. Method of manufacturing semiconductor device having metal gates
US8614152B2 (en) 2011-05-25 2013-12-24 United Microelectronics Corp. Gate structure and a method for forming the same
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US8432002B2 (en) * 2011-06-28 2013-04-30 International Business Machines Corporation Method and structure for low resistive source and drain regions in a replacement metal gate process flow
US8383485B2 (en) * 2011-07-13 2013-02-26 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial process for forming semiconductor devices
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
CN104025293B (zh) 2011-10-18 2018-06-08 英特尔公司 利用非平面拓扑的反熔丝元件
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
CN103137488B (zh) * 2011-12-01 2015-09-30 中国科学院微电子研究所 半导体器件及其制造方法
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US20130181265A1 (en) 2012-01-18 2013-07-18 Globalfoundries Inc. Methods of Forming a Gate Cap Layer Above a Replacement Gate Structure and a Semiconductor Device That Includes Such a Gate Structure and Cap Layer
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8877623B2 (en) * 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8969163B2 (en) * 2012-07-24 2015-03-03 International Business Machines Corporation Forming facet-less epitaxy with self-aligned isolation
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
JP2014038738A (ja) * 2012-08-13 2014-02-27 Sumitomo Heavy Ind Ltd サイクロトロン
US9281359B2 (en) * 2012-08-20 2016-03-08 Infineon Technologies Ag Semiconductor device comprising contact trenches
US8962407B2 (en) * 2012-08-28 2015-02-24 Globalfoundries Inc. Method and device to achieve self-stop and precise gate height
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
US8835237B2 (en) 2012-11-07 2014-09-16 International Business Machines Corporation Robust replacement gate integration
US9029208B2 (en) 2012-11-30 2015-05-12 International Business Machines Corporation Semiconductor device with replacement metal gate and method for selective deposition of material for replacement metal gate
US8877604B2 (en) * 2012-12-17 2014-11-04 International Business Machines Corporation Device structure with increased contact area and reduced gate capacitance
CN103915385B (zh) 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 Cmos晶体管及其形成方法、鳍式场效应晶体管及其形成方法
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US8841197B1 (en) 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US9059217B2 (en) 2013-03-28 2015-06-16 International Business Machines Corporation FET semiconductor device with low resistance and enhanced metal fill
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9711368B2 (en) 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US9397177B2 (en) 2013-11-25 2016-07-19 Globalfoundries Inc. Variable length multi-channel replacement metal gate including silicon hard mask
CN104752215B (zh) * 2013-12-30 2017-12-29 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9224814B2 (en) 2014-01-16 2015-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Process design to improve transistor variations and performance
US9425099B2 (en) 2014-01-16 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial channel with a counter-halo implant to improve analog gain
US9419136B2 (en) * 2014-04-14 2016-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dislocation stress memorization technique (DSMT) on epitaxial channel devices
US9812323B2 (en) 2014-09-08 2017-11-07 Internaitonal Business Machines Corporation Low external resistance channels in III-V semiconductor devices
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
FR3048816B1 (fr) * 2016-03-09 2018-04-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'un dispositif avec transistor nmos contraint en tension et transistor pmos contraint en compression uni-axiale
US9806170B1 (en) * 2016-05-11 2017-10-31 Globalfoundries Inc. Differential SG/EG spacer integration with equivalent NFET/PFET spacer widths and dual raised source drain expitaxial silicon and triple-nitride spacer integration enabling high-voltage EG device on FDSOI
US10269714B2 (en) 2016-09-06 2019-04-23 International Business Machines Corporation Low resistance contacts including intermetallic alloy of nickel, platinum, titanium, aluminum and type IV semiconductor elements
US9960078B1 (en) 2017-03-23 2018-05-01 International Business Machines Corporation Reflow interconnect using Ru
KR102414182B1 (ko) * 2017-06-29 2022-06-28 삼성전자주식회사 반도체 소자
US10672649B2 (en) 2017-11-08 2020-06-02 International Business Machines Corporation Advanced BEOL interconnect architecture
US10541199B2 (en) 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
US11948981B2 (en) * 2021-07-15 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Seam-filling of metal gates with Si-containing layers

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376578A (en) * 1993-12-17 1994-12-27 International Business Machines Corporation Method of fabricating a semiconductor device with raised diffusions and isolation
US6638829B1 (en) * 1998-11-25 2003-10-28 Advanced Micro Devices, Inc. Semiconductor structure having a metal gate electrode and elevated salicided source/drain regions and a method for manufacture
US6737716B1 (en) * 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
KR100307636B1 (ko) * 1999-10-07 2001-11-02 윤종용 올라간 구조의 소오스/드레인을 갖는 전계효과 트랜지스터 및 그 제조방법
KR100333372B1 (ko) * 2000-06-21 2002-04-19 박종섭 금속 게이트 모스팻 소자의 제조방법
JP2002026310A (ja) * 2000-06-30 2002-01-25 Toshiba Corp 半導体装置及びその製造方法
US6787424B1 (en) * 2001-02-09 2004-09-07 Advanced Micro Devices, Inc. Fully depleted SOI transistor with elevated source and drain
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6504214B1 (en) * 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US6620664B2 (en) * 2002-02-07 2003-09-16 Sharp Laboratories Of America, Inc. Silicon-germanium MOSFET with deposited gate dielectric and metal gate electrode and method for making the same
JP3654285B2 (ja) * 2002-10-04 2005-06-02 セイコーエプソン株式会社 半導体装置の製造方法
US6908850B2 (en) * 2003-09-10 2005-06-21 International Business Machines Corporation Structure and method for silicided metal gate transistors
US6939751B2 (en) * 2003-10-22 2005-09-06 International Business Machines Corporation Method and manufacture of thin silicon on insulator (SOI) with recessed channel
KR100514166B1 (ko) * 2004-01-20 2005-09-13 삼성전자주식회사 상보형 반도체 소자 형성방법
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
US7479684B2 (en) * 2004-11-02 2009-01-20 International Business Machines Corporation Field effect transistor including damascene gate with an internal spacer structure

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101908475B (zh) * 2009-06-04 2013-04-03 台湾积体电路制造股份有限公司 制造半导体装置的方法
CN102420139A (zh) * 2010-09-25 2012-04-18 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法
CN102420139B (zh) * 2010-09-25 2014-04-02 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其制作方法
CN102479693A (zh) * 2010-11-30 2012-05-30 中芯国际集成电路制造(北京)有限公司 形成栅极的方法
CN102479693B (zh) * 2010-11-30 2013-11-06 中芯国际集成电路制造(北京)有限公司 形成栅极的方法
CN102856178B (zh) * 2011-06-29 2016-04-20 中芯国际集成电路制造(上海)有限公司 金属栅极和mos晶体管的形成方法
CN102856178A (zh) * 2011-06-29 2013-01-02 中芯国际集成电路制造(上海)有限公司 金属栅极和mos晶体管的形成方法
CN102856180A (zh) * 2011-06-30 2013-01-02 中国科学院微电子研究所 一种半导体器件的替代栅集成方法
CN102856180B (zh) * 2011-06-30 2016-05-25 中国科学院微电子研究所 一种半导体器件的替代栅集成方法
CN103000522A (zh) * 2011-09-13 2013-03-27 中芯国际集成电路制造(上海)有限公司 Nmos晶体管的制造方法
CN103000522B (zh) * 2011-09-13 2015-04-01 中芯国际集成电路制造(上海)有限公司 Nmos晶体管的制造方法
CN103050457A (zh) * 2011-10-13 2013-04-17 台湾积体电路制造股份有限公司 用于半导体结构接触的隔离件
CN103050457B (zh) * 2011-10-13 2015-08-12 台湾积体电路制造股份有限公司 用于半导体结构接触的隔离件
CN103187285A (zh) * 2011-12-29 2013-07-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN103187285B (zh) * 2011-12-29 2015-06-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN103811339A (zh) * 2012-11-09 2014-05-21 中国科学院微电子研究所 半导体器件及其制造方法
CN103811339B (zh) * 2012-11-09 2016-12-21 中国科学院微电子研究所 半导体器件及其制造方法
US9601566B2 (en) 2012-11-09 2017-03-21 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
CN104752185A (zh) * 2013-12-31 2015-07-01 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN104752185B (zh) * 2013-12-31 2018-06-01 中芯国际集成电路制造(上海)有限公司 金属栅极的形成方法
CN108447825A (zh) * 2015-05-27 2018-08-24 格罗方德半导体公司 一种使用密闭外延生长技术形成的半导体装置
CN108447825B (zh) * 2015-05-27 2019-09-24 格罗方德半导体公司 一种使用密闭外延生长技术形成的半导体装置

Also Published As

Publication number Publication date
US20060286729A1 (en) 2006-12-21
US20090261391A1 (en) 2009-10-22
US8148786B2 (en) 2012-04-03
DE112006001705B4 (de) 2009-07-02
WO2007002427A1 (en) 2007-01-04
US7569443B2 (en) 2009-08-04
CN101203947B (zh) 2010-10-06
DE112006001705T5 (de) 2008-05-08

Similar Documents

Publication Publication Date Title
CN101203947B (zh) 采用抬高的源极漏极和替代金属栅极的互补型金属氧化物半导体集成电路
KR100869771B1 (ko) 금속 게이트 전극을 구비하는 반도체 디바이스의 제조 방법
US7422936B2 (en) Facilitating removal of sacrificial layers via implantation to form replacement metal gates
US7439113B2 (en) Forming dual metal complementary metal oxide semiconductor integrated circuits
US7144783B2 (en) Reducing gate dielectric material to form a metal gate electrode extension
US7126199B2 (en) Multilayer metal gate electrode
US7138323B2 (en) Planarizing a semiconductor structure to form replacement metal gates
US7902058B2 (en) Inducing strain in the channels of metal gate transistors
US7220635B2 (en) Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7183184B2 (en) Method for making a semiconductor device that includes a metal gate electrode
TWI362753B (zh)
TW201137985A (en) Multi-gate semiconductor device with self-aligned epitaxial source and drain
JP2010010508A (ja) 半導体装置および半導体装置の製造方法
JP2008263168A (ja) 半導体装置およびその製造方法
US20060046523A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
US7425490B2 (en) Reducing reactions between polysilicon gate electrodes and high dielectric constant gate dielectrics
US20060148150A1 (en) Tailoring channel dopant profiles
US20050287746A1 (en) Facilitating removal of sacrificial layers to form replacement metal gates
WO2008015211A1 (en) Effecting selectivity of silicon or silicon-germanium deposition on a silicon or silicon-germanium substrate by doping
JP5387700B2 (ja) 半導体装置の製造方法
TW201338052A (zh) 金氧半導體元件的製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210720

Address after: California, USA

Patentee after: GOOGLE Inc.

Address before: California, USA

Patentee before: INTEL Corp.