CN101127299A - 包含表面清洁步骤的制造半导体装置的方法 - Google Patents

包含表面清洁步骤的制造半导体装置的方法 Download PDF

Info

Publication number
CN101127299A
CN101127299A CNA2007101426730A CN200710142673A CN101127299A CN 101127299 A CN101127299 A CN 101127299A CN A2007101426730 A CNA2007101426730 A CN A2007101426730A CN 200710142673 A CN200710142673 A CN 200710142673A CN 101127299 A CN101127299 A CN 101127299A
Authority
CN
China
Prior art keywords
layer
etchant
fluorine
alcohol
containing material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101426730A
Other languages
English (en)
Other versions
CN100561665C (zh
Inventor
李尚昊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN101127299A publication Critical patent/CN101127299A/zh
Application granted granted Critical
Publication of CN100561665C publication Critical patent/CN100561665C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/12Light metals
    • C23G1/125Light metals aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

本发明公开了一种包含表面清洁的制造半导体装置的方法。该方法包括在半导体基板上形成栅极叠层,使用含有将含氟(F)物质分散于醇的蚀刻剂以清洁存在于经接触孔而露出的该半导体基板表面的污染物,并以导电层填充接触孔以形成连接接触。该蚀刻剂优选为具有1以下的低选择性。

Description

包含表面清洁步骤的制造半导体装置的方法
技术领域
本发明涉及一种制造半导体装置的方法。更具体而言,本发明涉及包含表面清洁步骤以从半导体装置层表面除去污染物(例如原生氧化物(nativeoxide))的制造半导体装置的方法。
背景技术
随着半导体装置设计规则的缩减,已将具有80nm以下的微细线条关键尺寸(CD)的超短沟道型金属氧化物半导体晶体管整合至半导体基板上。此外,在形成连接布线结构或装置期间,设计规则的缩减已造成工艺余量(process margin)的限制及对移除表面污染物(例如原生氧化物)的约束。
例如,连接接触与如晶体管的源极及/或漏极的结接触,因而连接至这些结。为确保连接接触的接触面积,通过使用栅极叠层作为蚀刻阻挡的自对准接触(self aligned contact(SAC))来形成连接接触。为减少当使用SAC时结与连接接触之间的电阻,必须在沉积导电插塞层之前移除存在于结表面的污染物(例如原生氧化物)。
一般通过使用具缓冲氧化物蚀刻剂(buffered oxide etchant(BOE))或稀释氢氟酸(HF)溶液作为湿法蚀刻剂来进行移除原生氧化物的工艺。在湿法清洁期间,构成接触孔侧壁的绝缘层会发生不期望的腐蚀损失(corrosionloss)。腐蚀损失为湿法清洁的约束或限制因素。
更具体而言,半导体装置设计规则的缩减造成用以将邻近的接触孔相互隔离的绝缘层的小尺寸化的线宽。因此,在已知的湿法清洁期间内绝缘层的损失,使得难以确保邻近的接触孔间的预定间隔。结果填充接触孔的连接接触间可能发生电短路。此外,连接于连接接触的晶体管中可能发生漏电。
因此,必须发展一种表面清洁方法能够有效地移除原生氧化物,同时防止例如绝缘层、导电层或半导体层的相邻层的腐蚀。
发明内容
本发明的一个方面提供一种用于制造半导体装置的方法,其包括能有效移除原生氧化物并且防止层间介电层的腐蚀损失的表面清洁。
根据本发明的一个方面,本发明提供一种用于制造半导体装置的方法,该方法包括使用含有将含氟(F)物质分散于醇的蚀刻剂以清洁存在于清洁目标层表面上的污染物。
根据本发明的另一个方面,本发明提供一种用于制造半导体装置的方法,其包括:在下层(underlying layer)上形成绝缘层;选择性地蚀刻绝缘层以形成接触孔而露出下层表面,并在下层表面上产生污染物;使用含有将含氟(F)物质分散于醇的蚀刻剂以清洁存在于经接触孔而露出的下层表面上的污染物;及以使用导电层填充接触孔以形成连接接触。
根据本发明的另一个方面,本发明提供一种用于制造半导体装置的方法,其包括:在半导体基板上形成多个栅极叠层,每一栅极叠层包括第一导电层、在栅极叠层侧上的间隔物(spacer)、及在栅极叠层顶部上的覆盖层(capping layer);在栅极叠层上方形成绝缘层以填充邻近栅极叠层之间的区域;使用间隔物及覆盖层作为蚀刻阻挡而蚀刻绝缘层以形成接触孔以及在半导体基板表面上产生污染物;使用含有将含氟(F)物质分散于醇的蚀刻剂以清洁存在于经接触孔而露出的半导体基板表面上的污染物;在所得的结构上方形成第二导电层,以使第二导电层填充接触孔;及将第二导电层平面化,由此露出覆盖层及利用栅极叠层与残留的绝缘层形成相互隔离的连接接触。
清洁目标层优选为硅基板、多晶硅层、非晶硅层、钨(W)层、氮化钨(WN)层、硅化钨(WSix)层、钛(Ti)层、氮化钛(TiN)层、铜(Cu)层、铝(Al)层或锌(Zn)层。
醇优选包括异丙醇(IPA),且含氟(F)物质优选包括氢氟酸(HF)、氟离子(F-)及/或二氟氢离子(HF2 -),且蚀刻剂优选包含不少于80重量%的醇及低于20重量%的含氟(F)物质。
醇亦能包括二醇,在此情况下含氟(F)物质优选地包括氢氟酸(HF)、氟离子(F-)及/或二氟氢离子(HF2 -),且蚀刻剂优选包括不少于80重量%的醇及低于20重量%的含氟(F)物质。
蚀刻剂优选包括表面活性剂作为含氟(F)物质的分散剂。
蚀刻剂中的含水量优选为少于10重量%。
蚀刻剂优选通过将醇与氢氟酸(HF)溶液混合来制备。
优选使用蚀刻剂移除存在于清洁目标层或半导体基板的表面上的原生氧化物或蚀刻残留物来进行清洁。
优选地,绝缘层是由硼磷硅酸盐玻璃(BPSG)、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、低压正硅酸四乙酯(LP-TEOS)、等离子体增强正硅酸四乙酯(PE-TEOS)、高密度等离子体(HDP)氧化硅、未掺杂硅酸盐玻璃(USG)、低压(LP)氮化物、等离子体增强(PE)氮化物、氮氧化硅、旋涂电介质(spin-on-dielectric(SOD))及热氧化物(thermal oxide)的至少一种所制成。
第一导电层优选包括具有下栅极介电层(underlying gate dielectric layer)的栅极层,间隔物及覆盖层优选包括氮化硅层,绝缘层优选包括硼磷硅酸盐玻璃(BPSG)层。该方法优选进一步包括形成含有未掺杂硅酸盐玻璃(USG)层的间隔物绝缘层以使间隔物绝缘层覆盖间隔物及覆盖层。
优选地,蚀刻剂中包含的含氟(F)物质的量被选择成将绝缘层、间隔物及覆盖层对原生氧化物的各蚀刻比率调整为3以下。
本发明提供一种包含表面清洁的制造半导体装置的方法,能有效地移除原生氧化物且防止层间介电层的腐蚀损失。
附图说明
图1、2和3图示说明包含根据本发明的一个实施例的表面清洁的制造半导体装置方法的剖面图;及
图4、5、6、7、8和9图示说明包含根据本发明的另一实施例的表面清洁的制造半导体装置的另一方法剖面图。
附图标记说明
110半导体基板    120装置隔离层
130绝缘层        131剩余部分
135接触孔        140表面污染物
150接触          200半导体基板
210半导体基板    220栅极叠层
221栅极介电层    222第一导电层
223第一导电层    224间隔物
225覆盖层        230间隔物绝缘层
240层间介电层    241接触孔
245遗失部分      250污染物
260第二导电层    261连接接触
具体实施方式
本发明涉及使用含有将含氟(F)物质分散于醇的蚀刻剂来将清洁目标层表面上的污染物的方法。清洁目标层可为非氧化物层,例如硅基板的单晶硅层或多晶硅层。清洁目标可为由清洁目标层表面上自发氧化所产生的原生氧化物。清洁目标层例如为硅基板、多晶硅层、非晶硅层、钨(W)层、氮化钨(WN)层、硅化钨(WSix)层、钛(Ti)层、氮化钛(TiN)层、铜(Cu)层、铝(Al)层或锌(Zn)层。
氧化硅为原生氧化物的示例。当与利用如化学气相沉积(CVD)或旋转涂布的沉积方法所形成的氧化硅比较时,原生氧化物具有高度致密的共价键(highly densified covalent bond)。因此,当与沉积的氧化硅相比较时,原生氧化物显现对于蚀刻剂(例如稀释的HF溶液)的低蚀刻比率。在从经氧化硅沉层而露出的清洁目标层表面移除原生氧化物的工艺中,可能会非预期地发生氧化硅沉层的严重损失。当形成自对准接触(SAC)时,发生不期望的现象,例如连接接触间发生短路或连接到连接接触的晶体管发生漏电。
在一个实施例中,本发明涉及一种移除清洁目标层表面上的污染物的方法,该方法能够通过使用含有将含氟(F)物质分散于醇的蚀刻剂来防止其他沉层的非计划的损失。
含氟(F)物质可包括氢氟酸(HF)、氟离子(F-)及/或二氟氢离子(HF2 -)。含氟(F)物质以分散状态存在于醇中。为了促进分散,蚀刻剂可进一步包含表面活性剂。通过混合氢氟酸(HF)溶液与醇来形成含氟(F)物质的分散。此时期望将蚀刻剂中包含的水(H2O)的量调整为少于10%。
醇通常为每个分子具有至少一个羟基的有机液体。适合的醇的例子包括异丙醇(IPA:(CH3)2CHOH))及其中两个羟基键合到不同的碳的有机化合物的二醇。二醇包括具有碳(C)、氢(H)及羟基(OH)的有机化合物,例如乙二醇(CH2OHCH2OH)。
当醇包括乙二醇时,以足以调整蚀刻剂的物理性能而适用于半导体工艺的化学计量来使用乙二醇。
醇被认为能有效降低沉积层相对于原生氧化物的蚀刻选择率(例如降至约3以下,优选为1以下)。这考虑下列事实:当使用稀释HF溶液作为蚀刻剂时,例如硼磷硅酸盐玻璃(BPSG)制成的沉积绝缘层,相对于原生氧化物具有非常大的蚀刻比率。根据本发明一个实施例的蚀刻剂为低选择性蚀刻剂,其使沉积层相对于原生氧化物的蚀刻比率被调整为低水平。
实验证明本发明的蚀刻剂减少沉积氧化物层与原生氧化物间蚀刻选择性的差异。尤其是,蚀刻剂对在半导体装置中通常作为层间介电层的BPSG层具有低蚀刻比率。因此,当形成接触时蚀刻剂有效地将污染物自下结(underlying junction)或导电层表面移除而不会损伤层间介电层。
因此,能在清洁步骤中使用本发明的清洁方法而在蚀刻工艺(例如SAC)期间移除原生氧化物,有益于在蚀刻工艺期间防止层间介电层损失。此外,本发明的清洁方法亦能被用于多种应用,包括其他牵涉到移除原生氧化物的半导体制造工艺,及移除其他表面污染物例如蚀刻残留物的工艺。
图1至3图示说明包含根据本发明一个实施例的表面清洁的制造半导体装置方法剖面图。在一个实施例中,说明用于对经绝缘层而露出的清洁目标层表面进行清洁的工艺。
参照图1,引入作为清洁目标层的半导体基板110。此时,将具有浅沟槽隔离(shallow trench isolation(STI))结构的装置隔离层120形成于半导体基板110上。装置隔离层120一般包括氧化硅层。将具有接触孔135或开口的绝缘层130形成于半导体基板110上,使半导体基板110表面经接触孔135或开口而部分地露出。绝缘层130优选包括氧化硅层。例如由硅自发氧化而产生的原生氧化物的表面污染物140,可形成于经绝缘层130的接触孔135而露出的半导体基板110表面上。表面污染物140可进一步包括牵涉到蚀刻接触孔135的蚀刻残留物。
参照图2,使用含有将含氟(F)物质分散于醇的蚀刻剂来使表面污染物140接受湿法清洁。以蚀刻剂总重量为基准计,醇(例如包括异丙醇(IPA)及/或二醇)的优选使用量不低于80%。以蚀刻剂总重量为基准计,含氟(F)物质(例如包括氢氟酸(HF)、氟离子(F-)及/或二氟氢离子(HF2 -))的使用量低于20%。尤其是,实验证明二醇能有效降低绝缘层的蚀刻速率。代表蚀刻剂各成分分量的量的百分比(%)为重量百分比(wt%)。
绝缘层130可包括硼磷硅酸盐玻璃(BPSG)。BPSG含有约20mol%以下的硼及约30mol%以下的磷。BPSG具有一结构,其中由于存在着硼及磷原子,硅原子与氧原子间的共价键不够致密。更具体而言,原生氧化物具有以硅原子与氧原子间共价键为基础的结构,同时BPSG具有一结构,其中由于存在着硼及磷原子,共价键被零星地断裂。因此,BPSG具有比较不致密的氧化硅结构。
因此,当通过使用稀释的HF溶液的已知清洁方法而移除表面污染物140时,与原生氧化物的蚀刻速率相比,BPSG蚀刻速率大。因此,接触孔135宽度增加,且绝缘层130的剩余部分131显著减少。此外,接触孔135可能沿着其间形成的桥(bridge)而消失。
另一方面,在使用本发明的蚀刻剂来蚀刻的情况下,氟物质打断氧化硅的共价键,但是醇(例如二醇)会将BPSG中断裂的官能团钝化,因而减少官能团间电负性的差异。因此蚀刻剂中的醇减少BPSG相对于原生氧化物的蚀刻比率。因此,本发明的蚀刻剂有效降低BPSG相对于原生氧化物的蚀刻选择率(例如约3以下)。
在已知的使用稀释HF或300∶1 BOE(缓冲氧化物蚀刻)溶液(例如LAL15)的湿法清洁方法中,已知BPSG相对于原生氧化物的蚀刻比率为不低于5,更具体而言为约7~8,进一步具体而言为9以上。也就是说,估计是以比原生氧化物快7~8倍的蚀刻速率来移除BPSG。
另一方面,在使用根据本发明的含二醇及氟物质的蚀刻剂来蚀刻的情况下,实验证明可实现蚀刻选择率低于1。也就是说,沉积氧化物例如BPSG的蚀刻速率基本上等效于或低于原生氧化物的蚀刻速率。因此,可更有效地防止由移除原生氧化物所造成的绝缘层130(例如BPSG)的非计划的腐蚀损失。因此能解决关于绝缘层130损失的问题。
参照图3,形成导电层以填充已移除包括原生氧化物的表面污染物140的接触孔135。通过化学机械抛光(CMP)或回蚀刻工艺而将导电层平面化以形成连接接触150或插塞。此时,不会发生绝缘层130的损失,因而能充分地维持邻近的连接接触150间的预定间隔。
上述可见的是,虽然提及半导体基板110中的单晶硅区域(或形成于该区域的结)作为清洁目标层,根据本发明的清洁方法可应用于清洁其他层的表面,例如导电层、硅层或半导体基板层。例如,清洁目标层可为硅基板、多晶硅层、非晶硅层、钨(W)层、氮化钨(WN)层、硅化钨(WSix)层、钛(Ti)层、氮化钛(TiN)层、铜(Cu)层、铝(Al)层或锌(Zn)层。本发明的蚀刻剂具有清洁目标层相对于原生氧化物的低蚀刻选择率(例如约3以下)。原生氧化物能从清洁目标层的表面移除,同时抑制清洁目标层的腐蚀损失。
如上所述,举出BPSG作为用于绝缘层的原料。然而,通常绝缘层可包括硼磷硅酸盐玻璃(BPSG)、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、低压正硅酸四乙酯(LP-TEOS)、等离子体增强正硅酸四乙酯(PE-TEOS)、高密度等离子体(HDP)氧化硅、未掺杂硅酸盐玻璃(USG)、低压(LP)氮化物、等离子体增强(PE)氮化物、氮氧化硅、旋涂电介质(SOD)及热氧化物。本发明的蚀刻剂具有绝缘层材料相对于原生氧化物的低蚀刻选择率(例如约3以下,优选为1以下)。
根据本发明一个实施例的蚀刻剂可以用于清洁以移除如原生氧化物的表面清洁物140及清洁除移除原生氧化物以外的蚀刻残留物。另外,蚀刻剂可用于各种应用,包括在形成如上述接触150前的清洁工艺、SAC工艺及关于移除原生氧化物的半导体制造工艺。
图4至9图示说明包含根据本发明的另一实施例的表面清洁的制造半导体装置的另一方法剖面图。
参照图4,根据本发明的表面清洁溶液及清洁方法可应用于SAC工艺。因此,可通过防止因层间介电层的损失或损伤所造成的超短沟道型MOS晶体管性能恶化来改善布线可靠性。
首先,使半导体基板210经历浅沟槽隔离(STI)。将栅极叠层220形成在半导体基板210上。每一栅极叠层220包括栅极介电层221、作为栅极层的第一导电层222及223、配置于栅极叠层220侧上的氮化硅(SiN)间隔物224、以及位于栅极叠层220顶部的作为氮化硅掩模层的覆盖层225。
间隔物224可包括具有厚度约5~300的氮化硅(SiN)层。备选地,间隔物224可包含具有氮化硅层及氧化硅层的双层结构。第一导电层222、223具有多层结构,其包括由阻挡金属层或多晶硅层制成的下导电层222、及由钨层或硅化钨层制成的上导电层223。由未掺杂硅酸盐玻璃(USG)层制成的间隔物绝缘层230形成为1000以下厚度。通过常压化学机械沉积(ambient pressure chemical mechanical deposition(APCMD))、低压化学机械沉积(APCMD)或原子层沉积(atomic layer deposition(ALD))来沉积未掺杂硅酸盐玻璃(USG)层。
参照图5,以覆盖栅极叠层220的方式将层间介电层240形成于间隔物绝缘层230上。层间介电层240优选包括BPSG层。BPSG层含有约20mol%以下的硼及约30mol%以下的磷。接着,将BPSG层平坦化。
参照图6,使用间隔物及覆盖层作为蚀刻阻挡而蚀刻层间介电层240以形成接触孔241或开口而将多个栅极叠层220露出于外部。此工艺根据SAC工艺执行。覆盖层225可被部分地蚀刻,因而形成遗失部分245。
参照图7,使用含有将含氟(F)物质分散于醇的蚀刻剂清洁存在于经接触孔241而露出的半导体基板200表面的污染物250。此种清洁能使污染物250(例如原生氧化物)从半导体基板210表面移除,同时优选地防止层间介电层的损失,如参照图2所述。
参照图8,在所得的结构上方形成第二导电层260,使其填充已清洁的接触孔241。优选通过沉积掺杂多晶硅层来形成第二导电层260。
参照图9,通过CMP将第二导电层260平坦化以使覆盖层225露出于外部。因此,将连接接触261形成为使该连接接触通过栅极叠层220及残留的层间介电层240而相互分离。连接接触261可视为具有连接到晶体管的结的插塞的功能。
如前所述可显而易见的是,根据本发明,具有沉积层(例如层间介电层)相对于原生氧化物的低蚀刻选择率的清洁步骤,可以通过使用含有含氟(F)物质及醇的蚀刻剂而实现。本发明的清洁防止在清洁期间内暴露于蚀刻剂的其他层的损失。也就是说,该蚀刻剂使得沉积层(即,绝缘层、导电层或半导体基板)相对于原生氧化物的蚀刻选择率减少,由此移除原生氧化物同时有效地防止由蚀刻剂引起的沉积层的腐蚀损失。
因此,在具有80nm以下微细线宽的高集成度装置中,可以防止当清洁以移除原生氧化物时,由沉积层(例如层间介电层)的非计划损失所造成的MOS晶体管性能恶化。此外,在SAC工艺期间,可以防止不期望的现象,例如具有确保邻近的接触孔间的预定间隔功能的层间介电层的损失所造成的连接接触之间的短路或漏电。
虽然为说明而已披露本发明的优选实施例,但是本领域技术人员可以理解,在不背离权利要求所定义的本发明的范畴及精神的情况下,进行各种变更、添加及替代。
本发明主张于2006年8月18日申请的韩国专利申请第10-2006-0078351号的优先权,该专利申请的全部内容通过引用结合于此。

Claims (22)

1.一种制造半导体装置的方法,该方法包括使用含有将含氟物质分散于醇的蚀刻剂来清洁在清洁目标层表面上的污染物。
2.如权利要求1所述的方法,其中所述清洁目标层选自由硅基板、多晶硅层、非晶硅层、钨层、氮化钨层、硅化钨层、钛层、氮化钛层、铜层、铝层及锌层所构成的群组。
3.如权利要求1所述的方法,其中:
所述醇包括异丙醇,
所述含氟物质包括氢氟酸、氟离子及二氟氢离子的至少一种,及
所述蚀刻剂包括不低于80重量%的醇及低于20重量%的所述含氟物质。
4.如权利要求1所述的方法,其中:
所述醇包括二醇,
所述含氟物质包括氢氟酸、氟离子及二氟氢离子的至少一种,及
所述蚀刻剂包括不低于80重量%的醇及低于20重量%的所述含氟物质。
5.如权利要求1所述的方法,其中所述蚀刻剂进一步包括表面活性剂,以作为所述含氟物质用的分散剂。
6.如权利要求1所述的方法,其中所述蚀刻剂包括低于10重量%的水。
7.如权利要求1所述的方法,包括通过混合所述醇与氢氟酸溶液而制备所述蚀刻剂。
8.如权利要求1所述的方法,其中清洁污染物的步骤包括使用所述蚀刻剂以移除存在于所述清洁目标层表面上的原生氧化物。
9.一种制造半导体装置的方法,所述方法包括使用包括将含氟物质分散于醇的蚀刻剂以清洁经绝缘层而露出的清洁目标层表面上的污染物。
10.如权利要求9所述的方法,其中:
所述醇包括异丙醇及二醇的至少一种,
所述含氟物质包括氢氟酸、氟离子及二氟氢离子的至少一种,及
所述蚀刻剂包括不低于80重量%的醇及低于20重量%的所述含氟物质。
11.如权利要求9所述的方法,其中所述绝缘层选自硼磷硅酸盐玻璃、磷硅酸盐玻璃、硼硅酸盐玻璃、低压正硅酸四乙酯、等离子体增强正硅酸四乙酯、高密度等离子体氧化硅、未掺杂硅酸盐玻璃、低压氮化物、等离子体增强氮化物、氮氧化硅、旋涂电介质、热氧化物及其组合的群组。
12.一种制造半导体装置的方法,所述方法包括:
在下层上形成绝缘层;
选择性地蚀刻所述绝缘层以形成露出所述下层的表面的接触孔;
使用含有将含氟物质分散于醇的蚀刻剂以清洁所述接触孔;及
以导电层填充所述接触孔。
13.如权利要求12所述的方法,其中:
所述醇包括异丙醇及二醇的至少一种,
所述含氟物质包括氢氟酸、氟离子及二氟氢离子的至少一种,及
所述蚀刻剂包括不低于80重量%的醇及低于20重量%的所述含氟物质。
14.一种制造半导体装置的方法,所述方法包括:
在半导体基板之上形成多个栅极叠层,每一栅极叠层包括第一导电层、所述栅极叠层侧上的间隔物、及位于所述栅极叠层顶部的覆盖层;
在所述栅极叠层上形成绝缘层,由此填充相邻的栅极叠层间的区域。
通过使用所述间隔物及所述覆盖层作为蚀刻阻挡来蚀刻所述绝缘层以形成接触孔;
使用含有将含氟物质分散于醇的蚀刻剂以清洁所述接触孔;
在所述绝缘层上形成第二导电层以填充所述接触孔;及
将所述第二导电层平坦化以露出所述覆盖层。
15.如权利要求14所述的方法,其中所述第一导电层包括含有下栅极介电层的栅极层,所述间隔物及所述覆盖层含有氮化硅层,所述绝缘层含有硼磷硅酸盐玻璃层,且所述方法进一步包括形成含有未掺杂硅酸盐玻璃的间隔物绝缘层以覆盖所述间隔物及所述覆盖层。
16.如权利要求14所述的方法,包括选择所述蚀刻剂中包含的所述含氟物质的含量,以使所述蚀刻剂具有所述绝缘层、所述间隔物及所述覆盖层中每个相对于污染物的为3以下的蚀刻比率。
17.如权利要求14所述的方法,包括选择所述蚀刻剂中包含的所述含氟物质的含量,以使所述蚀刻剂具有所述绝缘层、所述间隔物及所述覆盖层中每个相对于污染物的为1以下的蚀刻比率。
18.如权利要求14所述的方法,其中:
所述蚀刻剂具有所述绝缘层、所述间隔物及所述覆盖层中每个相对于污染物的为不大于3的蚀刻比率,
所述醇包括异丙醇,
所述含氟物质包括氢氟酸、氟离子及二氟氢离子的至少一种,及
所述蚀刻剂包括不低于80重量%的醇及低于20重量%的所述含氟物质。
19.如权利要求14所述的方法,其中:
所述醇包括二醇,
所述含氟物质包括氢氟酸、氟离子及二氟氢离子的至少一种,及
所述蚀刻剂包括不低于80重量%的醇及低于20重量%的所述含氟物质。
20.如权利要求14所述的方法,其中所述蚀刻剂进一步包括表面活性剂,以作为所述含氟物质用的分散剂。
21.如权利要求14所述的方法,其中所述蚀刻剂包括低于10重量%的水。
22.如权利要求14所述的方法,其中清洁接触孔的步骤包括使用所述蚀刻剂以移除存在于所述半导体基板表面上的蚀刻残留物及/或原生氧化物。
CNB2007101426730A 2006-08-18 2007-08-20 包含表面清洁步骤的制造半导体装置的方法 Expired - Fee Related CN100561665C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020060078351A KR100818708B1 (ko) 2006-08-18 2006-08-18 표면 세정을 포함하는 반도체소자 제조방법
KR78351/06 2006-08-18

Publications (2)

Publication Number Publication Date
CN101127299A true CN101127299A (zh) 2008-02-20
CN100561665C CN100561665C (zh) 2009-11-18

Family

ID=39095284

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007101426730A Expired - Fee Related CN100561665C (zh) 2006-08-18 2007-08-20 包含表面清洁步骤的制造半导体装置的方法

Country Status (5)

Country Link
US (1) US20080044990A1 (zh)
JP (1) JP2008047898A (zh)
KR (1) KR100818708B1 (zh)
CN (1) CN100561665C (zh)
TW (1) TW200811935A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102087963A (zh) * 2009-12-04 2011-06-08 无锡华润上华半导体有限公司 多晶硅层的蚀刻方法
CN102157435A (zh) * 2010-02-11 2011-08-17 中芯国际集成电路制造(上海)有限公司 接触孔形成方法
CN103178049A (zh) * 2011-12-22 2013-06-26 上海华虹Nec电子有限公司 自对准接触孔绝缘层的结构及制备方法
CN106463511A (zh) * 2014-06-20 2017-02-22 英特尔公司 非易失性存储器的直通阵列布线

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2347774B1 (en) 2005-12-13 2017-07-26 The President and Fellows of Harvard College Scaffolds for cell transplantation
US9770535B2 (en) 2007-06-21 2017-09-26 President And Fellows Of Harvard College Scaffolds for cell collection or elimination
KR101406888B1 (ko) * 2007-12-13 2014-06-30 삼성전자주식회사 반도체 소자의 제조 방법
US9370558B2 (en) 2008-02-13 2016-06-21 President And Fellows Of Harvard College Controlled delivery of TLR agonists in structural polymeric devices
WO2009102465A2 (en) 2008-02-13 2009-08-20 President And Fellows Of Harvard College Continuous cell programming devices
WO2009146456A1 (en) 2008-05-30 2009-12-03 President And Fellows Of Harvard College Controlled release of growth factors and signaling molecules for promoting angiogenesis
US8252119B2 (en) * 2008-08-20 2012-08-28 Micron Technology, Inc. Microelectronic substrate cleaning systems with polyelectrolyte and associated methods
US8398779B2 (en) * 2009-03-02 2013-03-19 Applied Materials, Inc. Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
WO2010120749A2 (en) 2009-04-13 2010-10-21 President And Fellow Of Harvard College Harnessing cell dynamics to engineer materials
US8728456B2 (en) 2009-07-31 2014-05-20 President And Fellows Of Harvard College Programming of cells for tolerogenic therapies
CN102082091B (zh) * 2009-11-30 2012-07-11 上海华虹Nec电子有限公司 改善高密度等离子体化学气相淀积的磷硅玻璃形貌的方法
EP2542230A4 (en) 2010-03-05 2013-08-28 Harvard College ENHANCEMENT OF SKELETAL MUSCLE STRAIN CELL GRAFT WITH DUAL DELIVERY OF VEGF AND IGF-1
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2585053A4 (en) 2010-06-25 2014-02-26 Harvard College COMMON RELEASE OF STIMULATING AND HEMMING FACTORS FOR THE PRODUCTION OF TEMPORARY STABILIZED AND SPATULARLY LIMITED ZONES
JPWO2012002440A1 (ja) * 2010-06-29 2013-08-29 京セラ株式会社 半導体基板の表面処理方法、半導体基板、および太陽電池の製造方法
WO2012023387A1 (ja) * 2010-08-20 2012-02-23 三菱瓦斯化学株式会社 トランジスタの製造方法
EP2624873B1 (en) 2010-10-06 2019-12-04 President and Fellows of Harvard College Injectable, pore-forming hydrogels for materials-based cell therapies
WO2012064697A2 (en) 2010-11-08 2012-05-18 President And Fellows Of Harvard College Materials presenting notch signaling molecules to control cell behavior
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
WO2012148684A1 (en) 2011-04-27 2012-11-01 President And Fellows Of Harvard College Cell-friendly inverse opal hydrogels for cell encapsulation, drug and protein delivery, and functional nanoparticle encapsulation
US9675561B2 (en) 2011-04-28 2017-06-13 President And Fellows Of Harvard College Injectable cryogel vaccine devices and methods of use thereof
EP2701745B1 (en) 2011-04-28 2018-07-11 President and Fellows of Harvard College Injectable preformed macroscopic 3-dimensional scaffolds for minimally invasive administration
US9486512B2 (en) 2011-06-03 2016-11-08 President And Fellows Of Harvard College In situ antigen-generating cancer vaccine
KR20130010362A (ko) * 2011-07-18 2013-01-28 삼성전자주식회사 반도체 장치의 제조방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5548225B2 (ja) * 2012-03-16 2014-07-16 富士フイルム株式会社 半導体基板製品の製造方法及びエッチング液
HUE047973T2 (hu) 2012-04-16 2020-05-28 Harvard College Mezoporózus szilíciumdioxid készítmények immunválaszok modulálására
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014178426A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10682400B2 (en) 2014-04-30 2020-06-16 President And Fellows Of Harvard College Combination vaccine devices and methods of killing cancer cells
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
WO2016123573A1 (en) 2015-01-30 2016-08-04 President And Fellows Of Harvard College Peritumoral and intratumoral materials for cancer therapy
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN107708756A (zh) 2015-04-10 2018-02-16 哈佛学院院长等 免疫细胞捕获装置及其制备和使用方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
CN115487351A (zh) 2016-02-06 2022-12-20 哈佛学院校长同事会 重塑造血巢以重建免疫
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
EP3484448A4 (en) 2016-07-13 2020-04-01 President and Fellows of Harvard College MIMETIC SCAFFOLDS OF CELLS HAVING ANTIGEN AND METHODS OF PREPARING AND USING THEM
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11443936B2 (en) * 2020-06-19 2022-09-13 Applied Materials, Inc. Methods and apparatus for aluminum oxide surface recovery

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050647A (ja) * 1996-05-14 1998-02-20 Samsung Electron Co Ltd 洗浄溶液およびそれを用いた洗浄方法
US6240933B1 (en) * 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
JPH11323394A (ja) * 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
DE19844102C2 (de) * 1998-09-25 2000-07-20 Siemens Ag Herstellverfahren für eine Halbleiterstruktur
KR100307287B1 (ko) * 1998-11-20 2001-12-05 윤종용 반도체장치의패드제조방법
JP2001305752A (ja) 2000-04-26 2001-11-02 Daikin Ind Ltd 微細パターン用ポリマー剥離液組成物
TW518719B (en) * 2001-10-26 2003-01-21 Promos Technologies Inc Manufacturing method of contact plug
KR100827684B1 (ko) * 2001-10-30 2008-05-07 에이펫(주) 반도체 소자의 세정액 및 이를 이용한 세정 방법
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7045073B2 (en) * 2002-12-18 2006-05-16 Intel Corporation Pre-etch implantation damage for the removal of thin film layers
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
KR100645458B1 (ko) * 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
US7253094B1 (en) * 2003-12-22 2007-08-07 Cypress Semiconductor Corp. Methods for cleaning contact openings to reduce contact resistance
KR100606187B1 (ko) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102087963A (zh) * 2009-12-04 2011-06-08 无锡华润上华半导体有限公司 多晶硅层的蚀刻方法
CN102157435A (zh) * 2010-02-11 2011-08-17 中芯国际集成电路制造(上海)有限公司 接触孔形成方法
CN102157435B (zh) * 2010-02-11 2013-01-30 中芯国际集成电路制造(上海)有限公司 接触孔形成方法
CN103178049A (zh) * 2011-12-22 2013-06-26 上海华虹Nec电子有限公司 自对准接触孔绝缘层的结构及制备方法
CN103178049B (zh) * 2011-12-22 2015-10-14 上海华虹宏力半导体制造有限公司 自对准接触孔绝缘层的结构及制备方法
CN106463511A (zh) * 2014-06-20 2017-02-22 英特尔公司 非易失性存储器的直通阵列布线
CN106463511B (zh) * 2014-06-20 2020-08-11 英特尔公司 非易失性存储器的直通阵列布线

Also Published As

Publication number Publication date
KR20080016338A (ko) 2008-02-21
US20080044990A1 (en) 2008-02-21
CN100561665C (zh) 2009-11-18
KR100818708B1 (ko) 2008-04-01
TW200811935A (en) 2008-03-01
JP2008047898A (ja) 2008-02-28

Similar Documents

Publication Publication Date Title
CN100561665C (zh) 包含表面清洁步骤的制造半导体装置的方法
KR100484258B1 (ko) 반도체 소자 제조 방법
US7833902B2 (en) Semiconductor device and method of fabricating the same
KR101670451B1 (ko) 도전막 매립형 기판, 그 형성 방법, 반도체 소자 및 그 제조 방법
US8647973B2 (en) Semiconductor device capable of reducing electrical defects and method of fabricating the same
JP2010056156A (ja) 半導体装置およびその製造方法
KR100799125B1 (ko) 캐패시터를 구비한 반도체 소자의 제조방법
US8026604B2 (en) Semiconductor devices having contact holes including protrusions exposing contact pads
CN101335256B (zh) Nor闪存装置及制造该装置的方法
CN104752329A (zh) 互连结构的形成方法
JP2004349572A (ja) 半導体装置およびその製造方法
US8252686B2 (en) Method for forming copper wiring in a semiconductor device
CN1832131B (zh) 制造半导体器件的方法
US7649218B2 (en) Lateral MOS transistor and method for manufacturing thereof
KR100827498B1 (ko) 다마신을 이용한 금속 배선의 제조 방법
US11101179B2 (en) Semiconductor structure with protection portions and method for forming the same
US20080067692A1 (en) Semiconductor devices having contact pad protection for reduced electrical failures and methods of fabricating the same
US6780763B2 (en) Method for fabricating semiconductor device capable of improving gap-fill property
KR100723524B1 (ko) 금속 화학기계적 연마과정에서 절연막 침식이 감소된반도체 소자 및 그의 제조방법
US7384865B2 (en) Semiconductor device with a metal line and method of forming the same
CN104282644A (zh) 一种可编程硅通孔结构及其制备方法
KR20100004648A (ko) 반도체 장치의 캐패시터 제조방법
CN117238759A (zh) 改善金属铝栅损耗的方法
US20070148877A1 (en) Semiconductor device and method for fabricating the same
KR20060017173A (ko) 반도체 소자의 절연막 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091118

Termination date: 20130820