KR20080016338A - 표면 세정을 포함하는 반도체소자 제조방법 - Google Patents

표면 세정을 포함하는 반도체소자 제조방법 Download PDF

Info

Publication number
KR20080016338A
KR20080016338A KR1020060078351A KR20060078351A KR20080016338A KR 20080016338 A KR20080016338 A KR 20080016338A KR 1020060078351 A KR1020060078351 A KR 1020060078351A KR 20060078351 A KR20060078351 A KR 20060078351A KR 20080016338 A KR20080016338 A KR 20080016338A
Authority
KR
South Korea
Prior art keywords
layer
cleaning
fluorine
organic compound
etchant
Prior art date
Application number
KR1020060078351A
Other languages
English (en)
Other versions
KR100818708B1 (ko
Inventor
이상호
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020060078351A priority Critical patent/KR100818708B1/ko
Priority to US11/771,498 priority patent/US20080044990A1/en
Priority to TW096125497A priority patent/TW200811935A/zh
Priority to JP2007204914A priority patent/JP2008047898A/ja
Priority to CNB2007101426730A priority patent/CN100561665C/zh
Publication of KR20080016338A publication Critical patent/KR20080016338A/ko
Application granted granted Critical
Publication of KR100818708B1 publication Critical patent/KR100818708B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/12Light metals
    • C23G1/125Light metals aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

표면 세정을 포함하는 반도체소자 제조방법을 제시한다. 본 발명에 따르면, 반도체 기판 상에 콘택홀을 가지는 절연층을 형성하고, 콘택홀에 노출된 표면의 자연산화물 오염물을 알코올류 유기화합물, 예컨대, 글리콜류 유기화합물 또는 이소프로필알코올(IPA)에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액(etchant)을 이용하여 바람직하게 1.0 이하의 저선택비(low selectivity)를 구현하며 세정한다. 이후, 콘택홀을 도전층으로 채워 연결콘택을 형성한다.
자연산화물, SAC, 글리콜, IPA, 불산

Description

표면 세정을 포함하는 반도체소자 제조방법{Semiconductor device manufacturing method including cleaning surface layer}
도 1 내지 도 3은 본 발명의 실시예에 따른 표면 세정을 포함하는 반도체소자 제조방법을 설명하기 위해서 개략적으로 도시한 단면도들이다.
도 4 내지 도 9는 본 발명의 실시예에 따른 표면 세정을 포함하는 반도체소자 제조방법의 변형예를 설명하기 위해서 개략적으로 도시한 단면도들이다.
본 발명은 반도체소자에 관한 것으로, 특히, 층의 표면으로부터 자연산화물(native oxide)을 포함하는 표면오염물(contamination)을 제거하는 세정(surface cleaning)방법을 포함하는 반도체소자 제조방법에 에 관한 것이다.
반도체소자의 디자인룰(design rule)이 감소됨에 따라, 80㎚ 이하의 미세선폭을 가지는 초단채널 모스(MOS) 트랜지스터들이 반도체기판 상에 집적되고 있다. 또한, 이와 같이 반도체소자의 디자인룰이 감소됨에 따라, 연결배선의 구조 또는 소자를 형성할 때 자연산화물과 같은 표면오염물을 제거하는 과정에 여러 제약 또는 공정마진(process margin)의 한계가 발생하고 있다.
예컨대, 연결콘택은 트랜지스터들의 소스(source) 또는/ 및 드레인(drain)과 같은 접합(junction)에 접촉 연결되는 구조로 형성된다. 이때, 연결콘택의 접촉면적을 보다 확보하기 위해서, 게이트 스택(gate stack)이 식각 장벽(etch barrier)으로 작용하도록 하는 자기정렬콘택(SAC: Self Aligned Contact) 과정으로 형성되고 있다. 이러한 SAC을 형성하는 과정에서, 정션(junction)과 연결콘택 간의 접촉저항의 개선을 위해서, 정션층의 표면에 수반된 오염물, 예컨대, 자연산화물을 세정하여 제거하는 과정이 도전 플러그(plug)층의 증착 이전에 도입되고 있다.
이러한 자연산화물을 제거하는 과정은 주로 버퍼산화물에천트(BOE: Buffered Oxide Etchant)나 희석불산(diluted HF)을 습식액으로 이용하는 습식 과정으로 수행되고 있다. 그런데, 이러한 습식세정 과정에서 콘택홀(contact hole)의 측벽을 이루는 절연층 부분이 원하지 않게 침식 소모(loss)되는 현상이 발생되고 있다. 이러한 침식 소모 현상은 이러한 습식세정을 수행하는 과정에 제약 또는 한계 요소로 인식되고 있다.
구체적으로 설명하면, 반도체소자의 디자인룰이 감소됨에 따라, 콘택홀과 이웃하는 콘택홀을 분리 격리하는 절연층 부분의 선폭이 매우 협소해지고 있다. 따라서, 이러한 절연층 부분의 소모는 결국 콘택홀과 콘택홀 간의 충분한 격리를 보장하기 어렵게 한다. 이에 따라, 콘택홀을 채우는 연결콘택들 간에 전기적 단락(short)의 발생이 유발될 수 있다. 또한, 이러한 연결콘택에 연결되는 트랜지스터 소자 등에 누설 전류(leakage current)의 발생을 유발할 수 있다.
따라서, 자연산화물을 제거할 때 다른 주위의 절연층들 또는 다른 도전층, 반도체층 등에 대한 침식을 보다 억제할 수 있으며, 자연산화물을 보다 효과적으로 제거할 수 있는 세정 방법의 개발이 요구되고 있다.
본 발명이 이루고자 하는 기술적 과제는, 층간절연층의 소실을 억제하며 자연산화물을 제거할 수 있는 표면 세정을 포함하는 반도체소자 제조방법을 제시하는 데 있다.
상기의 기술적 과제를 달성하기 위한 본 발명의 일 관점은, 세정 대상층의 표면 오염물을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액(etchant)을 이용하여 세정하는 단계를 포함하는 반도체소자 제조방법을 제시한다.
본 발명의 다른 일 관점은, 절연층에 의한 노출되는 세정 대상층 표면의 오염물을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액(etchant)을 이용하여 세정하는 단계를 포함하는 반도체소자 제조방법을 제시한다.
본 발명의 또 다른 일 관점은, 하부층 상에 절연층을 형성하는 단계, 상기 절연층을 선택적 식각하여 상기 하부층의 표면을 노출하는 콘택홀을 형성하는 단계, 상기 콘택홀에 노출된 상기 하부층 표면의 오염물을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액(etchant)을 이용하여 세정하는 단계, 및 상기 콘택홀을 도전층으로 채워 연결콘택을 형성하는 단계를 포함하는 반 도체소자 제조방법을 제시한다.
본 발명의 또 다른 일 관점은, 반도체 기판 상에 제1도전층, 측부의 스페이서 및 상부의 캡층을 포함하는 스택(stack)들을 형성하는 단계, 상기 스택들을 덮는 절연층을 형성하는 단계, 상기 절연층을 상기 스페이서 및 상기 캡층을 장벽으로 이용하여 식각하여 콘택홀을 형성하는 단계, 상기 콘택홀에 노출된 상기 반도체 기판의 표면에 수반된 오염물을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액(etchant)을 이용하여 세정하는 단계, 상기 세정된 콘택홀을 채우는 제2도전층을 형성하는 단계, 및 상기 제2도전층을 상기 캡층이 노출되게 평탄화하여 상기 스택 및 잔류하는 상기 절연층 부분에 의해 분리된 연결콘택들을 형성하는 단계를 포함하는 반도체소자 제조방법을 제시한다.
상기 세정 대상층 또는 하부층은 실리콘 기판, 다결정실리콘층, 비정질실리콘층, 텅스텐(W)층, 텅스텐질화물(WN)층, 텅스텐실리사이드(WSix)층, 티타늄(Ti)층, 티타늄질화물(TiN)층, 구리(Cu)층, 알루미늄(Al)층 및 아연(Zn)층을 포함하는 일군에서 선택되는 어느 하나의 층을 포함할 수 있다.
상기 세정은 상기 알코올류 유기화합물로 이소프로필알코올(IPA)을 적어도 80% 이상 포함하고 상기 이소프로필알코올에 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)이 상기 불소(F)를 포함하는 화학종으로 많아야 20% 미만으로 분산된 상기 식각액을 이용하여 수행될 수 있다.
상기 세정은 상기 알코올류 유기화합물로 글리콜(glycol)류 유기화합물을 적어도 80% 이상 포함하고 상기 글리콜(glycol)류 유기화합물에 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)이 상기 불소(F)를 포함하는 화학종으로 많아야 20% 미만으로 분산된 상기 식각액을 이용하여 수행될 수 있다.
상기 식각액은 상기 불소(F)를 포함하는 화학종의 분산을 촉진하는 분산제를 더 포함할 수 있다.
상기 세정은 수분 함량이 많아야 10% 미만으로 제어된 상기 식각액을 이용하여 수행될 수 있다.
상기 세정은 상기 알코올류 유기화합물 용액에 불산(HF) 용액을 혼합하여 마련된 상기 식각액을 이용하여 수행될 수 있다.
상기 세정은 상기 식각액을 이용하여 상기 세정 대상층 표면 또는 상기 반도체 기판 표면에 형성된 자연산화물(native oxide) 또는 식각잔류물을 제거하게 수행될 수 있다.
상기 절연층은 보로포스포실리케이트글래스(BPSG), 포스포실리케이트글래스(PSG), 보로실리케이트글래스(BSG), 저압-테오스(LP-TEOS), 플라즈마개선-테오스(PE-TEOS), 고밀도플라즈마실리콘산화물(HDP silicon oxide), 언도프트실리케이트글래스(USG), 저압-질화물(LP-nitride), 플라즈마개선질화물(PE-nitride), 실리콘산질화물(SiON), 스핀온유전물(SOD) 또는 열산화물(thermal oxide)을 포함하는 일군에서 선택되는 어느 하나의 절연 물질을 포함하여 형성될 수 있다.
상기 제1도전층은 게이트 유전층을 하부에 수반하는 게이트층으로 형성되고, 상기 스페이서 및 상기 캡층은 실리콘 질화물을 포함하여 형성되고, 상기 절연층은 보로포스포실리케이트(BPSG)층을 포함하여 형성되고, 상기 절연층의 하부에 상기 스페이서 및 상기 캡층을 덮는 스페이서 절연층을 언도프트실리케이트글래스(USG)층을 포함하여 형성하는 단계를 더 포함할 수 있다.
상기 세정은 상기 절연층 및 상기 스페이서, 상기 캡층의 식각율이 자연산화물에 대해 많아야 3.0배 이하가 되게 상기 불소(F)를 포함하는 화학종의 함량이 제어된 상기 식각액을 이용하여 수행될 수 있다.
본 발명에 따르면, 층간절연층의 소실을 억제하며 자연산화물을 제거할 수 있는 표면 세정을 포함하는 반도체소자 제조방법을 제시할 수 있다.
이하, 첨부도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명의 범위가 아래에서 상술하는 실시예로 인해 한정되어지는 것으로 해석되어져서는 안 된다. 본 발명의 실시예들은 당업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되어지는 것으로 해석되는 것이 바람직하다.
본 발명의 실시예에서는, 세정 대상층의 표면 오염물을 알코올(alcohol)류 유기화합물에 분산된 불소(F)를 포함하는 화학종(species)을 포함하는 식각액(etchant)을 이용하여 세정하는 방법을 제시한다. 세정 대상층은 비산화물의 층, 예컨대, 실리콘 기판의 단결정 실리콘층이나 다결정실리콘층 등일 수 있으며, 세정 대상은 이러한 층 표면에 자발적 산화에 의해 발생된 자연산화물(native oxide)일 수 있다. 또한, 세정 대상층은 실리콘 기판, 다결정실리콘층, 비정질실리콘층, 텅스텐(W)층, 텅스텐질화물(WN)층, 텅스텐실리사이드(WSix)층, 티타늄(Ti)층, 티타늄질화물(TiN)층, 구리(Cu)층, 알루미늄(Al)층 또는 아연(Zn)층일 수 있다.
이러한 자연산화물은 실리콘산화물로 예시될 수 있다. 이때, 이러한 자연산화물은 화학기상증착(CVD)나 스핀 코팅(spin coating) 등과 같은 증착 방법에 의해 형성된 실리콘 산화물층에 비해 치밀한 결합 구조, 예컨대, 공유 결합 구조를 가지고 있어, 증착에 의한 산화물층에 비해 식각액, 예컨대, 희석불산(diluted HF) 용액에 대해 낮은 습식 식각율을 나타내고 있다. 따라서, 실리콘 산화물 증착층에 의해 노출된 세정 대상층 표면으로부터 자연산화물을 희석불산 용액을 이용하여 제거하는 과정에서, 원하지 않는 실리콘 산화물 증착층의 심각한 소실이 발생될 수 있다. 이에 따라, 자기정렬콘택(SAC) 형성 과정 등에서 연결콘택들이 전기적으로 단락되거나 연결콘택들 사이에 전류누설이 발생하는 원하지 않는 현상이 발생될 수 있다.
본 발명의 실시예에서는 알코올(alcohol)류 유기화합물에 분산된 불소(F)를 포함하는 화학종(species)을 포함하는 식각액(etchant)을 이용하여, 바람직하게 실리콘 산화물 증착층을 포함하는 다른 증착층들에의 손실을 효과적으로 억제하며, 세정 대상층 표면으로부터 특히 자연산화물을 제거하는 방법을 제시한다.
불소를 포함하는 화학종은 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)을 의미하는 것으로 해석될 수 있다. 이러한 불소를 포함하는 화학종은 알코올류 유기 화합물에 분산된 상태로 이해되며, 이러한 분산을 촉진하기 위해서 분산제(surfactant)가 식각액에 첨가될 수 있다. 이때, 불소를 포함하는 화학종은 불산 용액을 알코올류 유기화합물의 용액에 혼합함으로써 분산될 수 있다. 그럼에도 불구하고, 식각액은 많아야 10% 미만의 수분(H2O)을 함유하도록 제어되는 것이 바람직하다.
알코올류 유기화합물로는, 이소프로필알코올(IPA: (CH3)2CHOH)이 알코올류가 이용될 수 있으며, 또한, 히드록시기(OH) 2개가 서로 다른 탄소(C) 원자와 결합하고 있는 유기화합물군인 글리콜(glycol)류가 유용하게 이용될 수 있다. 예컨대, 글리콜류는 간단한 에틸렌글리콜(CH2OHCH2OH)과 같은 탄소(C), 수소(H) 및 히드록시기(OH)를 포함하는 화합물로 이해될 수 있다. 이러한 글리콜류 유기화합물은 반도체 공정에 적합하게 물성이 제어되도록 화학량론적 비(stoichiometric equation)가 조절된 에틸렌글리콜로 이해될 수 있다.
이때, 글리콜의 경우 다른 증착층들에 대한 자연산화물의 식각 선택비를 대략 3.0 이하, 바람직하게 1 이하로 낮게 구현하는 데 보다 유효한 것으로 평가되고 있다. 실질적으로 자연산화물에 비해 보로포스포실리케이트글래스(BPSG)와 같은 증착 절연층은 희석불산 용액에 대해 매우 높은 식각율을 나타내고 있는 것을 고려할 때, 본 발명의 실시예에서 제시하는 식각액은 자연산화물 대비 식각비를 낮게 제어한, 즉, 낮은 선택비(low selectivity) 세정액으로 이해될 수 있다.
본 발명의 실시예에 제시되는 식각액은 자연산화물과 다른 증착된 산화물층 과의 식각 선택비 차이를 낮춰주는 효과를 구현하는 것으로 실험적으로 평가되고 있다. 특히, 반도체 소자의 층간절연층으로 주로 이용되고 있는 BPSG층에 대한 시각율을 낮게 유도할 수 있어, 콘택 형성 과정에서 층간절연층에 대한 손실을 방지하며 하부의 정션(junction) 영역이나 다른 도전층 표면으로부터 자연산화물을 제거하는 효과를 구현할 수 있다.
따라서, 본 발명의 실시예에 제시되는 세정 방법은 자기정렬콘택(SAC)과 같은 층간절연층의 손실 방지가 필수적으로 요구되는 과정에서 자연산화물을 제거하는 세정에 이용될 수 있다. 그럼에도 불구하고, 본 발명의 세정 방법은 자연산화물을 제거하는 다른 반도체소자 제조과정에 적용될 수 있으며, 또한, 자연산화물과 함께 식각잔류물(etch residue)과 같은 다른 표면 오염물을 제거하는 과정에 적용될 수 있다.
도 1 내지 도 3은 본 발명의 실시예에 따른 표면 세정을 포함하는 반도체소자 제조방법을 설명하기 위해서 개략적으로 도시한 도면들이다. 본 발명의 실시예에서는 절연층에 의한 노출되는 세정 대상층 표면을 세정하는 과정을 예시한다.
도 1을 참조하면, 반도체 기판(110)과 같은 세정 대상층을 도입한다. 이때, 반도체 기판(110)에는 실리콘 산화물층을 포함하는 소자분리층(120)이 얕은트렌치소자분리(STI) 구조가 형성될 수 있다. 이러한 반도체 기판(110) 상에 기판 표면을 일부 노출하는 콘택홀(contact hole: 135) 또는 오프닝부(opening)를 가지는 절연층(130)이 바람직하게 실리콘산화물층을 포함하여 형성될 수 있다. 절연층(130)의 콘택홀(135)에 노출된 반도체 기판(110) 표면에는 실리콘의 자연산화에 의한 자연 산화물 등을 포함하는 표면 오염물(140)이 발생될 수 있다. 이때, 표면 오염물(140)은 콘택홀(135)의 식각에 수반된 식각잔류물을 더 포함할 수 있다.
도 2를 참조하면, 이러한 표면 오염물(140)을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액을 이용하여 습식 세정한다. 식각액은, 알코올류 유기화합물로 이소프로필알코올(IPA) 또는 글리콜을 적어도 80% 이상 포함할 수 있다. 또한, 이소프로필알코올 또는 글리콜에 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)이 불소(F)를 포함하는 화학종으로 많아야 20% 미만으로 분산되어 식각액이 구성될 수 있다. 이때, 글리콜이 절연층(130)이 식각액에 의해 식각되는 정도를 보다 효과적으로 억제하는 것으로 실험적으로 평가된다. 이때, 식각액을 구성하는 성분들의 백분율(%)는 무게 백분율(wt %)로 이해된다.
절연층(130)은 BPSG를 포함하여 형성될 수 있으며, 이때, 보론은 대략 20mol% 이하, 포스포로스는 대략 30mol% 이하로 함유될 수 있다. 이러한 BPSG는 보론 및 포스포로스의 함유에 의해 실리콘 원자와 산소 원자가 자연산화물의 표면 오염물(140)에 비해 치밀하게 결합되지 못한 구조를 가지게 된다. 자연산화물의 경우 실질적으로 실리콘 원자와 산소 원자가 공유 결합을 통한 구조로 이루어지고 있으나, BPSG는 보론이나 포스포로스에 의해 이러한 공유 결합이 군데군데 끊어진 구조로 이루어지게 된다. 따라서, BPSG는 상대적으로 덜 치밀한 실리콘 산화물 구조를 가지는 것으로 이해될 수 있다.
따라서, 종래의 경우와 같이 희석불산용액을 이용하여 표면 오염물(140)을 세정 제거할 경우, 자연산화물의 식각 제거 속도에 비해 BPSG의 식각 제거 속도가 월등하게 되고, 이에 따라, 콘택홀(135)들 사이 부분이 크게 소실되어 잔류하는 부분(131)이 매우 협소해지거나 콘택홀(135)들간에 연결되게 소실될 수 있다.
이에 비해 본 발명의 실시예의 식각액의 경우 불소 화학종이 실리콘 산화물의 공유 결합을 끊어주는 역할을 하지만, 글리콜 등의 성분이 BPSG의 끊어져 있는 결합기들을 패시베이션(passivation)하여 전위친화도(electro negativity) 차이를 낮춰 조절하는 역할을 하는 것으로 이해될 수 있다. 따라서, 식각액의 글리콜 등의 성분이 자연산화물에 대한 BPSG의 식각율을 낮춰주는 역할을 하는 것으로 이해될 수 있다. 따라서, 본 발명의 식각액은 자연산화물에 대한 BPSG의 식각 선택비를 보다 낮게 유도하는 효과, 예컨대, 대략 3.0 이하의 낮은 식각선택비를 구현할 수 있다.
희석불산(DHF)을 이용한 습식 세정이나 LAL15(300: 1 BOE)의 경우 자연산화물 대비 BPSG의 식각 선택비는 적어도 5 이상으로 알려져 있으며, 일반적으로 7 내지 8 정도, 심할 경우 9 이상으로 측정되고 있다. 즉, 자연산화물에 비해 BPSG가 적어도 7-8배 정도 빠르게 식각 제거되는 것으로 평가된다.
이에 비해, 본 발명의 실시예에 따른 글리콜류 및 불소 화학종을 포함하는 식각액을 이용할 경우, 바람직하게 식각 선택비가 1 이하로 구현될 수 있는 것으로 실험적으로 평가되고 있다. 즉, 자연산화물에 대비하여 BPSG와 같은 증착 산화물의 식각 속도가 실질적으로 대등하게 유도하거나 또는 BPSG 대비 자연산화물의 식각 속도가 상대적으로 높은 결과를 얻을 수 있다.
이에 따라, 자연산화물의 제거에 따른 BPSG를 포함하는 절연층(130)의 손실 또는 침식을 상대적으로 더 효과적으로 억제할 수 있다. 따라서, 절연층(130) 손실에 따른 문제들이 해소될 수 있다.
도 3을 참조하면, 자연산화물을 포함하는 표면 오염물(140)이 제거된 콘택홀(135)을 채우는 도전층을 형성하고, 화학기계적연마(CMP) 또는 에치백(etch-back) 등으로 평탄화하여 연결콘택(150) 또는 플러그(plug)를 형성한다. 이때, 절연층(130)의 손실이 방지되었으므로, 연결콘택(150)들 간의 격리 또한 충분히 유지될 수 있다.
이제까지 세정 대상층을 반도체 기판(110)의 단결정 실리콘층 부분(또는 이 부분에 형성된 정션층 부분)을 예시하여 설명하였지만, 본 발명의 실시예에 따른 세정은 다른 도전층 또는 실리콘층, 반도체층 표면을 세정하는 데 적용될 수 있다. 예컨대, 세정 대상층이 실리콘 기판, 다결정실리콘층, 비정질실리콘층, 텅스텐(W)층, 텅스텐질화물(WN)층, 텅스텐실리사이드(WSix)층, 티타늄(Ti)층, 티타늄질화물(TiN)층, 구리(Cu)층, 알루미늄(Al)층 또는 아연(Zn)층일 수 있다. 또한, 본 발명의 식각액은 이러한 세정 대상층이 자연산화물에 대해 낮은 선택비, 예컨대, 대략 3.0 이하의 식각선택비를 가지게 할 수 있어, 이러한 세정 대상층에의 침식을 보다 억제하며 자연산화물을 제거할 수 있다.
또한, 절연층(130) 또한 BPSG를 예시하였으나, 보로포스포실리케이트글래스(BPSG), 포스포실리케이트글래스(PSG), 보로실리케이트글래스(BSG), 저압-테오 스(LP-TEOS), 플라즈마개선-테오스(PE-TEOS), 고밀도플라즈마실리콘산화물(HDP silicon oxide), 언도프트실리케이트글래스(USG), 저압-질화물(LP-nitride), 플라즈마개선질화물(PE-nitride), 실리콘산질화물(SiON), 스핀온유전물(SOD) 또는 열산화물(thermal oxide)이 자연산화물에 대해서 대략 3.0 이하의 낮은 식각선택비, 바람직하게 1 이하의 식각선택비를 구현하도록 본 발명의 실시예에 의한 식각액은 유도할 수 있다.
이와 같은 본 발명의 실시예에 따른 식각액은 자연산화물 등을 포함하는 표면 오염물(140)을 제거하는 세정에 이용될 수 있으며, 자연산화물 및 식각잔류물을 함께 제거하는 세정에도 적용될 수 있다. 또한, 본 발명의 실시예에 따른 식각액은 예시한 바와 같이 콘택(150) 형성 전 세정에 이용될 수 있으며, 또한, SAC 과정 등에도 적용될 수 있고, 다른 자연산화물의 제거를 요구하는 반도체소자 제조과정에 응용될 수 있다.
도 4 내지 도 9는 본 발명의 실시예에 따른 표면 세정을 포함하는 반도체소자 제조방법의 변형예를 설명하기 위해서 개략적으로 도시한 도면들이다.
도 4를 참조하면, 본 발명의 실시예에 따른 표면 세정액 및 세정 방법은 SAC 과정에 응용될 수 있다. 이때, 층간 절연층의 소실 또는 손상에 따른 초단채널 MOS 트랜지스터의 성능 열화를 방지할 수 있어, 배선 신뢰성을 향상시킬 수 있다.
먼저, 반도체 기판(210)에 STI 과정을 수행하고, 반도체 기판(210) 상에 게이트 스택(gate stack: 220)을 형성한다. 즉, 게이트 유전층(221), 게이트층인 제1도전층(222, 223), 측부의 실리콘질화물(SiN) 스페이서(224) 및 상부의 실리콘질화 물의 마스크층(mask layer) 또는 캡층(capping layer: 225)을 포함하는 게이트 스택(stack)들을 형성한다.
이때, 스페이서(224)는 대략 5 내지 300Å 두께의 실리콘질화물(SiN)층을 포함하여 형성될 수 있다. 또한, 실리콘질화물층 및 실리콘산화물층의 이중층을 포함하여 형성될 수 있다. 또한, 제1도전층(222, 223)은 장벽 금속층 또는 다결정실리콘층의 하부 도전층(222)과, 텅스텐층 또는 텅스텐실리사이드층인 상부 도전층(223)의 복합층 구조로 형성될 수 있다. 이후에, 바람직하게 대략 1000Å 이하 두께의 언도프트실리케이트글래스(USG)층의 스페이서 절연층(230)을 형성한다. 이때, USG층은 상압화학기상증착(APCVD), 저압화학기상증착(LPCVD) 또는 원자층증착(ALD)등으로 증착될 수 있다.
도 5를 참조하면, 게이트 스택(220)을 덮게 스페이서 절연층(230) 상에 층간 절연층(240)을 바람직하게 BPSG층을 포함하여 형성한다. BPSG층은 20mol% 이하의 보론 및 30mol% 이하의 포스포로스를 함유하게 증착될 수 있다. BPSG층을 증착한 후 CMP 등으로 평탄화한다.
도 6을 참조하면, 층간 절연층(240)을 스페이서(224) 및 캡층(225)을 식각 장벽으로 이용하여 식각하여 다수의 게이트 스택(220)들을 노출하게 확장된 콘택홀(241) 또는 오프닝부를 형성한다. 이러한 과정은 SAC 과정을 따르는 것으로 이해될 수 있다. 이때, 캡층(225)의 일부는 식각되어 소실된 부분(245)이 발생될 수도 있다.
도 7을 참조하면, 콘택홀(241)에 노출된 반도체 기판(200)의 표면에 수반된 오염물(250)을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액을 이용하여 세정한다. 이러한 세정은 도 2를 참조하여 설명한 바와 같이 층간 절연층(240)의 소실을 바람직하게 억제하며 오염물(250)의 자연산화물을 반도체 기판(200)의 표면으로부터 제거할 수 있다.
도 8을 참조하면, 세정된 콘택홀(241)을 채우는 제2도전층(260)을 형성한다. 제2도전층(260)은 바람직하게 도핑된 다결정 실리콘층을 증착하여 형성될 수 있다.
도 9를 참조하면, 제2도전층(260)을 캡층(225)이 노출되게 CMP 등으로 평탄화한다. 이에 따라, 게이트 스택(220) 및 잔류하는 층간 절연층(240) 부분에 의해 분리된 연결콘택(261)들이 형성된다. 이러한 연결콘택(261)들은 트랜지스터의 정션 영역에 연결되는 플러그로도 이해될 수 있다.
상술한 본 발명에 따르면, 자연산화물에 대한 층간 절연층 등의 낮은 식각선택비를 구현하는 세정을, 불소 화학종 및 글리콜 성분을 포함하는 식각액을 이용하여 구현할 수 있다. 이러한 세정은 자연 산화물 이외에 세정 시 사용되는 식각액에 노출되는 자연산화물 이외의 다른 막질층에의 손상을 억제할 수 있다. 즉, 자연산화물에 대한 다른 절연층 또는 도전층, 반도체층 등의 막질의 식각 선택비를 낮게 유도하여, 자연산화물의 오염물이 제거될 때 다른 막질층이 세정에 사용된 식각액에 의해 침식 또는 손상되는 것을 보다 효과적으로 방지할 수 있다.
따라서, 80㎚급 이하 최소 선폭의 초고집적 소자에서, 자연산화물 제거 세정에 층간절연층 등이 원하지 않게 소실되어 MOS 트랜지스터의 성능 열화가 발생되는 것을 방지할 있다. 또한, SAC 과정에서 콘택홀들 사이를 격리하는 층간 절연층 부분이 소실되어 연결콘택들 간에 누설 전류가 발생되거나 또는 단락되는 것을 방지할 수 있다. 이에 따라, 보다 신뢰성 있는 반도체 소자의 배선 구조의 형성을 구현할 수 있다.
이상, 본 발명을 구체적인 실시예를 통하여 상세히 설명하였으나, 본 발명은 이에 한정되지 않고, 본 발명의 기술적 사상 내에서 당 분야의 통상의 지식을 가진 자에 의해 그 변형이나 개량이 가능함이 명백하다.

Claims (22)

  1. 세정 대상층의 표면 오염물을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액(etchant)을 이용하여 세정하는 단계를 포함하는 반도체소자 제조방법.
  2. 제1항에 있어서,
    상기 세정 대상층은 실리콘 기판, 다결정실리콘층, 비정질실리콘층, 텅스텐(W)층, 텅스텐질화물(WN)층, 텅스텐실리사이드(WSix)층, 티타늄(Ti)층, 티타늄질화물(TiN)층, 구리(Cu)층, 알루미늄(Al)층 및 아연(Zn)층을 포함하는 일군에서 선택되는 어느 하나의 층을 포함하는 반도체소자 제조방법.
  3. 제1항에 있어서,
    상기 세정은 상기 알코올류 유기화합물로 이소프로필알코올(IPA)을 적어도 80% 이상 포함하고 상기 이소프로필알코올에 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)이 상기 불소(F)를 포함하는 화학종으로 많아야 20% 미만으로 분산된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  4. 제1항에 있어서,
    상기 세정은 상기 알코올류 유기화합물로 글리콜(glycol)류 유기화합물을 적어도 80% 이상 포함하고 상기 글리콜(glycol)류 유기화합물에 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)이 상기 불소(F)를 포함하는 화학종으로 많아야 20% 미만으로 분산된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  5. 제3항 또는 제4항에 있어서,
    상기 식각액은 상기 불소(F)를 포함하는 화학종의 분산을 촉진하는 분산제(surfactant)를 첨가제(ingredient)로 더 포함하는 반도체소자 제조방법.
  6. 제1항에 있어서,
    상기 세정은 수분 함량이 많아야 10% 미만으로 제어된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  7. 제1항에 있어서,
    상기 세정은 상기 알코올류 유기화합물 용액에 불산(HF) 용액을 혼합하여 마련된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  8. 제1항에 있어서,
    상기 세정은 상기 식각액을 이용하여 상기 세정 대상층 표면에 형성된 자연산화물(native oxide)을 제거하게 수행되는 반도체소자 제조방법.
  9. 절연층에 의한 노출되는 세정 대상층 표면의 오염물을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액(etchant)을 이용하여 세정하는 단계를 포함하는 반도체소자 제조방법.
  10. 제9항에 있어서,
    상기 세정은 상기 알코올류 유기화합물로 이소프로필알코올(IPA) 또는 글리콜류 유기화합물을 적어도 80% 이상 포함하고 상기 유기화합물에 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)이 상기 불소(F)를 포함하는 화학종으로 많아야 20% 미만으로 분산된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  11. 제9항에 있어서,
    상기 절연층은 보로포스포실리케이트글래스(BPSG), 포스포실리케이트글래스(PSG), 보로실리케이트글래스(BSG), 저압-테오스(LP-TEOS), 플라즈마개선-테오스(PE-TEOS), 고밀도플라즈마실리콘산화물(HDP silicon oxide), 언도프트실리케이트글래스(USG), 저압-질화물(LP-nitride), 플라즈마개선질화물(PE-nitride), 실리콘산질화물(SiON), 스핀온유전물(SOD) 및 열산화물(thermal oxide)을 포함하는 일 군에서 선택되는 어느 하나의 절연 물질을 포함하여 형성된 반도체소자 제조방법.
  12. 하부층 상에 절연층을 형성하는 단계;
    상기 절연층을 선택적 식각하여 상기 하부층의 표면을 노출하는 콘택홀을 형성하는 단계;
    상기 콘택홀에 노출된 상기 하부층 표면의 오염물을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액(etchant)을 이용하여 세정하는 단계; 및
    상기 콘택홀을 도전층으로 채워 연결콘택을 형성하는 단계를 포함하는 반도체소자 제조방법.
  13. 제12항에 있어서,
    상기 세정은 상기 알코올류 유기화합물로 이소프로필알코올(IPA) 또는 글리콜류 유기화합물을 적어도 80% 이상 포함하고 상기 유기화합물에 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)이 상기 불소(F)를 포함하는 화학종으로 많아야 20% 미만으로 분산된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  14. 반도체 기판 상에 제1도전층, 측부의 스페이서 및 상부의 캡층을 포함하는 스택(stack)들을 형성하는 단계;
    상기 스택들을 덮는 절연층을 형성하는 단계;
    상기 절연층을 상기 스페이서 및 상기 캡층을 장벽으로 이용하여 식각하여 콘택홀을 형성하는 단계;
    상기 콘택홀에 노출된 상기 반도체 기판의 표면에 수반된 오염물을 알코올류 유기화합물에 분산된 불소(F)를 포함하는 화학종을 포함하는 식각액(etchant)을 이용하여 세정하는 단계;
    상기 세정된 콘택홀을 채우는 제2도전층을 형성하는 단계; 및
    상기 제2도전층을 상기 캡층이 노출되게 평탄화하여 상기 스택 및 잔류하는 상기 절연층 부분에 의해 분리된 연결콘택들을 형성하는 단계를 포함하는 반도체소자 제조방법.
  15. 제14항에 있어서,
    상기 제1도전층은 게이트 유전층을 하부에 수반하는 게이트층으로 형성되고,
    상기 스페이서 및 상기 캡층은 실리콘 질화물을 포함하여 형성되고,
    상기 절연층은 보로포스포실리케이트(BPSG)층을 포함하여 형성되고,
    상기 절연층의 하부에 상기 스페이서 및 상기 캡층을 덮는 스페이서 절연층을 언도프트실리케이트글래스(USG)층을 포함하여 형성하는 단계를 더 포함하는 반도체소자 제조방법.
  16. 제14항에 있어서,
    상기 세정은 상기 절연층 및 상기 스페이서, 상기 캡층의 식각율이 자연산화물에 대해 많아야 3.0배 이하가 되게 상기 불소(F)를 포함하는 화학종의 함량이 제어된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  17. 제14항에 있어서,
    상기 세정은 상기 절연층 및 상기 스페이서, 상기 캡층의 식각율이 자연산화물에 대해 많아야 1.0배 이하가 되게 상기 불소(F)를 포함하는 화학종의 함량이 제어된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  18. 제14항에 있어서,
    상기 세정은 상기 알코올류 유기화합물로 이소프로필알코올(IPA)을 적어도 80% 이상 포함하고 상기 유기화합물에 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)이 상기 불소(F)를 포함하는 화학종으로 많아야 20% 미만으로 분산된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  19. 제14항에 있어서,
    상기 세정은 상기 알코올류 유기화합물로 글리콜류 유기화합물을 적어도 80% 이상 포함하고 상기 유기화합물에 불산(HF), 불소 이온(F-) 또는 불산 이온(HF2 -)이 상기 불소(F)를 포함하는 화학종으로 많아야 20% 미만으로 분산된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  20. 제19항에 있어서,
    상기 식각액은 상기 불소(F)를 포함하는 화학종의 분산을 촉진하는 분산제(surfactant)를 첨가제(ingredient)로 더 포함하는 반도체소자 제조방법.
  21. 제14항에 있어서,
    상기 세정은 수분 함량이 많아야 10% 미만으로 제어된 상기 식각액을 이용하여 수행되는 반도체소자 제조방법.
  22. 제14항에 있어서,
    상기 세정은 상기 식각액을 이용하여 상기 하부층 표면에 잔류하는 식각잔류물(etch residue) 또는 자연산화물(native oxide)을 제거하게 수행되는 반도체소자 제조방법.
KR1020060078351A 2006-08-18 2006-08-18 표면 세정을 포함하는 반도체소자 제조방법 KR100818708B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020060078351A KR100818708B1 (ko) 2006-08-18 2006-08-18 표면 세정을 포함하는 반도체소자 제조방법
US11/771,498 US20080044990A1 (en) 2006-08-18 2007-06-29 Method for Fabricating A Semiconductor Device Comprising Surface Cleaning
TW096125497A TW200811935A (en) 2006-08-18 2007-07-13 Method for fabricating a semiconductor device comprising surface cleaning
JP2007204914A JP2008047898A (ja) 2006-08-18 2007-08-07 半導体素子製造方法
CNB2007101426730A CN100561665C (zh) 2006-08-18 2007-08-20 包含表面清洁步骤的制造半导体装置的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060078351A KR100818708B1 (ko) 2006-08-18 2006-08-18 표면 세정을 포함하는 반도체소자 제조방법

Publications (2)

Publication Number Publication Date
KR20080016338A true KR20080016338A (ko) 2008-02-21
KR100818708B1 KR100818708B1 (ko) 2008-04-01

Family

ID=39095284

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060078351A KR100818708B1 (ko) 2006-08-18 2006-08-18 표면 세정을 포함하는 반도체소자 제조방법

Country Status (5)

Country Link
US (1) US20080044990A1 (ko)
JP (1) JP2008047898A (ko)
KR (1) KR100818708B1 (ko)
CN (1) CN100561665C (ko)
TW (1) TW200811935A (ko)

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK2347775T3 (da) 2005-12-13 2020-07-13 Harvard College Skabeloner til celletransplantation
WO2009002401A2 (en) 2007-06-21 2008-12-31 President And Fellows Of Harvard College Scaffolds for cell collection or elimination
KR101406888B1 (ko) * 2007-12-13 2014-06-30 삼성전자주식회사 반도체 소자의 제조 방법
CN102006891B (zh) 2008-02-13 2017-04-26 哈佛学院董事会 连续的细胞程序化装置
US9370558B2 (en) 2008-02-13 2016-06-21 President And Fellows Of Harvard College Controlled delivery of TLR agonists in structural polymeric devices
WO2009146456A1 (en) 2008-05-30 2009-12-03 President And Fellows Of Harvard College Controlled release of growth factors and signaling molecules for promoting angiogenesis
US8252119B2 (en) * 2008-08-20 2012-08-28 Micron Technology, Inc. Microelectronic substrate cleaning systems with polyelectrolyte and associated methods
US8398779B2 (en) * 2009-03-02 2013-03-19 Applied Materials, Inc. Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
WO2010120749A2 (en) 2009-04-13 2010-10-21 President And Fellow Of Harvard College Harnessing cell dynamics to engineer materials
US8728456B2 (en) 2009-07-31 2014-05-20 President And Fellows Of Harvard College Programming of cells for tolerogenic therapies
CN102082091B (zh) * 2009-11-30 2012-07-11 上海华虹Nec电子有限公司 改善高密度等离子体化学气相淀积的磷硅玻璃形貌的方法
CN102087963B (zh) * 2009-12-04 2013-08-14 无锡华润上华半导体有限公司 多晶硅层的蚀刻方法
CN102157435B (zh) * 2010-02-11 2013-01-30 中芯国际集成电路制造(上海)有限公司 接触孔形成方法
US9610328B2 (en) 2010-03-05 2017-04-04 President And Fellows Of Harvard College Enhancement of skeletal muscle stem cell engraftment by dual delivery of VEGF and IGF-1
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2585053A4 (en) 2010-06-25 2014-02-26 Harvard College COMMON RELEASE OF STIMULATING AND HEMMING FACTORS FOR THE PRODUCTION OF TEMPORARY STABILIZED AND SPATULARLY LIMITED ZONES
US20130052774A1 (en) * 2010-06-29 2013-02-28 Kyocera Corporation Method for surface-treating semiconductor substrate, semiconductor substrate, and method for producing solar battery
WO2012023387A1 (ja) * 2010-08-20 2012-02-23 三菱瓦斯化学株式会社 トランジスタの製造方法
EP2624873B1 (en) 2010-10-06 2019-12-04 President and Fellows of Harvard College Injectable, pore-forming hydrogels for materials-based cell therapies
WO2012064697A2 (en) 2010-11-08 2012-05-18 President And Fellows Of Harvard College Materials presenting notch signaling molecules to control cell behavior
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10647959B2 (en) 2011-04-27 2020-05-12 President And Fellows Of Harvard College Cell-friendly inverse opal hydrogels for cell encapsulation, drug and protein delivery, and functional nanoparticle encapsulation
US9675561B2 (en) 2011-04-28 2017-06-13 President And Fellows Of Harvard College Injectable cryogel vaccine devices and methods of use thereof
EP3417876B1 (en) 2011-04-28 2021-03-31 President and Fellows of Harvard College Injectable preformed macroscopic 3-dimensional scaffolds for minimally invasive administration
US9486512B2 (en) 2011-06-03 2016-11-08 President And Fellows Of Harvard College In situ antigen-generating cancer vaccine
KR20130010362A (ko) * 2011-07-18 2013-01-28 삼성전자주식회사 반도체 장치의 제조방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103178049B (zh) * 2011-12-22 2015-10-14 上海华虹宏力半导体制造有限公司 自对准接触孔绝缘层的结构及制备方法
JP5548225B2 (ja) 2012-03-16 2014-07-16 富士フイルム株式会社 半導体基板製品の製造方法及びエッチング液
LT2838515T (lt) 2012-04-16 2020-03-10 President And Fellows Of Harvard College Mezoporinės silico dioksido kompozicijos, skirtos imuninio atsako moduliavimui
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
WO2014178426A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN107073090A (zh) 2014-04-30 2017-08-18 哈佛学院董事会 结合的疫苗装置和杀死癌细胞的方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150371925A1 (en) * 2014-06-20 2015-12-24 Intel Corporation Through array routing for non-volatile memory
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
CA3012602A1 (en) 2015-01-30 2016-08-04 President And Fellows Of Harvard College Peritumoral and intratumoral materials for cancer therapy
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
WO2016164705A1 (en) 2015-04-10 2016-10-13 Omar Abdel-Rahman Ali Immune cell trapping devices and methods for making and using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
CN109072197A (zh) 2016-02-06 2018-12-21 哈佛学院校长同事会 重塑造血巢以重建免疫
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN109789092A (zh) 2016-07-13 2019-05-21 哈佛学院院长等 抗原呈递细胞模拟支架及其制备和使用方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11443936B2 (en) 2020-06-19 2022-09-13 Applied Materials, Inc. Methods and apparatus for aluminum oxide surface recovery

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050647A (ja) * 1996-05-14 1998-02-20 Samsung Electron Co Ltd 洗浄溶液およびそれを用いた洗浄方法
US6240933B1 (en) * 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
JPH11323394A (ja) * 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
DE19844102C2 (de) * 1998-09-25 2000-07-20 Siemens Ag Herstellverfahren für eine Halbleiterstruktur
KR100307287B1 (ko) * 1998-11-20 2001-12-05 윤종용 반도체장치의패드제조방법
JP2001305752A (ja) 2000-04-26 2001-11-02 Daikin Ind Ltd 微細パターン用ポリマー剥離液組成物
TW518719B (en) * 2001-10-26 2003-01-21 Promos Technologies Inc Manufacturing method of contact plug
KR100827684B1 (ko) * 2001-10-30 2008-05-07 에이펫(주) 반도체 소자의 세정액 및 이를 이용한 세정 방법
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7045073B2 (en) * 2002-12-18 2006-05-16 Intel Corporation Pre-etch implantation damage for the removal of thin film layers
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
KR100645458B1 (ko) * 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
US7253094B1 (en) * 2003-12-22 2007-08-07 Cypress Semiconductor Corp. Methods for cleaning contact openings to reduce contact resistance
KR100606187B1 (ko) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법

Also Published As

Publication number Publication date
CN101127299A (zh) 2008-02-20
CN100561665C (zh) 2009-11-18
TW200811935A (en) 2008-03-01
JP2008047898A (ja) 2008-02-28
US20080044990A1 (en) 2008-02-21
KR100818708B1 (ko) 2008-04-01

Similar Documents

Publication Publication Date Title
KR100818708B1 (ko) 표면 세정을 포함하는 반도체소자 제조방법
US7875547B2 (en) Contact hole structures and contact structures and fabrication methods thereof
KR100655788B1 (ko) 반도체 소자의 세정방법 및 이를 이용한 반도체 소자의제조방법.
US6001726A (en) Method for using a conductive tungsten nitride etch stop layer to form conductive interconnects and tungsten nitride contact structure
US7981762B2 (en) Method of forming pre-metal dielectric layer of semiconductor device
US8598012B2 (en) Method for fabricating semiconductor device with buried gates
KR100413606B1 (ko) 캐패시터의 제조 방법
KR20090001377A (ko) 반도체 소자의 형성 방법
US7649218B2 (en) Lateral MOS transistor and method for manufacturing thereof
US20150004773A1 (en) Method for forming shallow trench isolation
US7825030B2 (en) Method of forming a spacer
KR100827498B1 (ko) 다마신을 이용한 금속 배선의 제조 방법
KR100654000B1 (ko) 금속실리사이드막을 갖는 반도체소자의 제조방법
KR20100026189A (ko) 반도체 장치의 캐패시터 제조 방법
KR100791707B1 (ko) 반도체 소자의 층간 절연막 평탄화 방법
US7199013B2 (en) Semiconductor device and method for fabricating the same
KR100771537B1 (ko) 금속실리사이드막을 갖는 반도체소자의 제조방법
KR20100004648A (ko) 반도체 장치의 캐패시터 제조방법
KR100482754B1 (ko) 반도체 소자의 캐패시터 제조 방법
KR100861372B1 (ko) 반도체소자의 폴리사이드 게이트 형성방법
KR100744001B1 (ko) 랜딩 플러그 콘택 형성 방법
KR100637100B1 (ko) 반도체 소자의 메탈 플러그 형성 방법
KR20060067393A (ko) 듀얼 다마신 패턴 형성 방법
KR100952243B1 (ko) 반도체 소자의 금속전 층간 절연막 제조 방법
KR100537185B1 (ko) 반도체소자 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120222

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130225

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee