JP2008047898A - 半導体素子製造方法 - Google Patents

半導体素子製造方法 Download PDF

Info

Publication number
JP2008047898A
JP2008047898A JP2007204914A JP2007204914A JP2008047898A JP 2008047898 A JP2008047898 A JP 2008047898A JP 2007204914 A JP2007204914 A JP 2007204914A JP 2007204914 A JP2007204914 A JP 2007204914A JP 2008047898 A JP2008047898 A JP 2008047898A
Authority
JP
Japan
Prior art keywords
layer
organic compound
semiconductor device
manufacturing
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007204914A
Other languages
English (en)
Inventor
Sang Ho Lee
サン ホ リー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of JP2008047898A publication Critical patent/JP2008047898A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/103Other heavy metals copper or alloys of copper
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/10Other heavy metals
    • C23G1/106Other heavy metals refractory metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/02Cleaning or pickling metallic material with solutions or molten salts with acid solutions
    • C23G1/12Light metals
    • C23G1/125Light metals aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Weting (AREA)

Abstract

【課題】表面洗浄を含む半導体素子製造方法を提供する。
【解決手段】半導体基板上にコンタクトホールを持つ絶縁層を形成し、コンタクトホールに露出された表面の自然酸化物汚染物を、アルコール類有機化合物、例えば、グリコール類有機化合物またはイソプロピルアルコール(IPA)に分散されたふっ素(F)を含む化学種を含むエッチング液(etchant)を用いて、好ましくは1.0以下の低選択比(low selectivity)で洗浄する。その後、コンタクトホールを導電層で埋め込んで連結コンタクトを形成する。
【選択図】図7

Description

本発明は、半導体素子に係り、特に、層の表面から自然酸化物(native oxide)を含む表面汚染物(contamination)を除去する洗浄(surface cleaning)を含む半導体素子製造方法に関する。
半導体素子のデザインルール(design rule)が減少するに伴い、80nm以下の微細線幅を持つ超短チャンネルモス(MOS)トランジスタが、半導体基板上に集積されている。また、このように半導体素子のデザインルールが減少するに伴い、連結配線の構造または素子を形成する際に自然酸化物のような表面汚染物を除去する過程に、諸制約または工程マージン(process margin)の限界が生じてきている。
例えば、連結コンタクトは、トランジスタのソース(source)または/及びドレイン(drain)のようなジャンクション(junction)に接触連結される構造に形成される。このとき、連結コンタクトの接触面積をより確保するために、ゲートスタック(gate stack)をエッチング障壁(etch barrier)として働かせる自己整列コンタクト(SAC:Self Aligned Contact)過程が適用される。このようなSACを形成する過程で、ジャンクション(junction)と連結コンタクト間の接触抵抗の改善のために、ジャンクション層に発生する汚染物、例えば、自然酸化物を洗浄して除去する過程が、導電プラグ(plug)層の蒸着に先立って行われている。
このような自然酸化物の除去は、主として、バッファ酸化物エッチャント(BOE:Buffered Oxide Etchant)や希釈ふっ酸(diluted HF)を湿式液とする湿式過程によって行われている。ところが、このような湿式洗浄過程では、コンタクトホール(contact hole)の側壁を形成する絶縁層部分において望まぬ侵食消耗(loss)が生じてしまう。この侵食消耗現象は、上記の湿式洗浄を行う過程で制約または限界要素とされている。
具体的に説明すると、半導体素子のデザインルールが減少するに伴い、隣接するコンタクトホール同士を分離して離隔する絶縁層部分の線幅も非常に狭くなってきており、よって、上述した絶縁層部分の消耗は、コンタクトホールとコンタクトホール間の充分な隔離を保障しにくくする。これにより、コンタクトホールを埋め込む連結コンタクト同士間に電気的短絡(short)が生じる恐れがあり、また、これらの連結コンタクトに連結されるトランジスタ素子などに漏れ電流(leakage current)が発生する恐れもある。
そこで、自然酸化物を除去するとき、別の周囲の絶縁層または別の導電層、半導体層への侵食をより抑制でき、自然酸化物をより效果的に除去できる洗浄方法の開発が要求されている現状にある。
米国特許6,995,056号明細書 米国特許6,878,612号明細書 米国特許6,796,314号明細書 米国特許6,818,537号明細書
本発明は上記の問題点を解決するためのもので、その目的は、層間絶縁層の消失を抑えながら自然酸化物を除去できる表面洗浄を含む半導体素子製造方法を提供することにある。
上記目的を達成するためための本発明の一観点は、洗浄対象層の表面汚染物を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液(etchant)を用いて洗浄する工程を含む半導体素子製造方法を提示する。
本発明の他の観点は、絶縁層を通じて露出される洗浄対象層表面の汚染物を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液(etchant)を用いて洗浄する段階を含む、半導体素子製造方法を提示する。
本発明のさらに他の観点は、下部層上に絶縁層を形成する工程と、前記絶縁層を選択的エッチングして、前記下部層の表面を露出するコンタクトホールを形成する工程と、前記コンタクトホールに露出された前記下部層表面の汚染物を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液(etchant)を用いて洗浄する工程と、前記コンタクトホールを導電層で埋め込んで連結コンタクトを形成する工程と、を含む、半導体素子製造方法を提示する。
本発明のさらに他の観点は、半導体基板上に第1導電層、側部のスペーサ及び上部のギャップ層を含むゲートスタック(stack)を形成する工程と、これらのゲートスタックを覆う絶縁層を形成する工程と、前記絶縁層を前記スペーサ及び前記ギャップ層を障壁としてエッチングし、コンタクトホールを形成する工程と、前記コンタクトホールに露出された前記半導体基板の表面に発生した汚染物を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液(etchant)を用いて洗浄する工程と、前記洗浄されたコンタクトホールを埋め込む第2導電層を形成する工程と、前記第2導電層を前記ギャップ層が露出されるように平坦化し、前記スタック及び残留する前記絶縁層部分によって分離された連結コンタクトを形成する工程と、を含む、半導体素子製造方法を提示する。
前記洗浄対象層または下部層は、シリコン基板、多結晶シリコン層、非晶質シリコン層、タングステン(W)層、タングステン窒化物(WN)層、タングステンシリサイド(WSix)層、チタン(Ti)層、チタン窒化物(TiN)層、銅(Cu)層、アルミニウム(Al)層及び亜鉛(Zn)層を含む群から選ばれるいずれか1層を含むことができる。
前記洗浄は、前記アルコール類有機化合物としてイソプロピルアルコール(IPA)を80%以上含み、前記イソプロピルアルコールに、ふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)が前記ふっ素(F)を含む化学種として20%未満で分散された前記エッチング液を用いて行われることができる。
前記洗浄は、前記アルコール類有機化合物としてグリコール(glycol)類有機化合物を80%以上含み、前記グリコール(glycol)類有機化合物に、ふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)が前記ふっ素(F)を含む化学種として20%未満で分散された前記エッチング液を用いて行われることができる。
前記エッチング液は、前記ふっ素(F)を含む化学種の分散を促す分散剤(surfactant)をさらに含むことができる。
前記洗浄は、水分含量が10%未満に制御された前記エッチング液を用いて行われることができる。
前記洗浄は、前記アルコール類有機化合物溶液にふっ酸(HF)溶液を混合して作られた前記エッチング液を用いて行われることができる。
前記洗浄は、前記エッチング液を用いて、前記洗浄対象層表面に形成された自然酸化物(native oxide)またはエッチング残留物を除去するように行われることができる。
前記絶縁層は、ボロホスホシリケートガラス(BPSG)、ホスホシリケートガラス(PSG)、ボロシリケートガラス(BSG)、低圧−TEOS(Low Pressure−Tetra Ethyl Ortho Silicate)、プラズマ改善−TEOS(Plasma Enhanced−TEOS)、高密度プラズマシリコン酸化物(HDP silicon oxide)、アンドープシリケートガラス(USG)、低圧−窒化物(LP−nitride)、プラズマ改善窒化物(PE−nitride)、シリコン酸窒化物(SiON)、スピンオン誘電物(SOD)または熱酸化物(thermal oxide)を含む群より選ばれるいずれか1絶縁物質を含んで形成されることができる。
前記第1導電層は、ゲート誘電層を下部に有するゲート層で形成され、前記スペーサ及び前記ギャップ層は、シリコン窒化物を含んで形成され、前記絶縁層は、ボロホスホシリケート(BPSG)層を含んで形成され、前記絶縁層の下部に前記スペーサ及び前記ギャップ層を覆うスペーサ絶縁層がアンドープシリケートガラス(USG)層を含んで形成される工程をさらに含むことができる。
前記洗浄は、前記絶縁層、前記スペーサ及び前記ギャップ層のエッチング率が自然酸化物に対して3.0以下となるように前記ふっ素(F)を含む化学種の含量が制御された前記エッチング液を用いて行われることができる。
本発明によれば、自然酸化物に対する層間絶縁層などの低いエッチング選択比が得られる洗浄を、ふっ素化学種及びグリコール成分を含むエッチング液を用いて具現できるため、洗浄時に使用されるエッチング液に露出される自然酸化物以外の別の膜質層への損傷を抑えることが可能になる。すなわち、自然酸化物に対する別の絶縁層、導電層または半導体層などの膜質のエッチング選択比を低く誘導して、自然酸化物の汚染物が除去される際に、別の膜質層が洗浄に用いられたエッチング液によって侵食または損傷されるのをより效果的に防止可能になる。
したがって、80nm級以下の最小線幅の超高集積素子において、自然酸化物除去のための洗浄時に、層間絶縁層に望まぬ消失が生じてMOSトランジスタの性能が劣化することをを防止することができる。また、SAC過程でコンタクトホール同士間を隔離する層間絶縁層部分が消失されて連結コンタクト同士間に漏れ電流または短絡が生じるのを防止可能になる。その結果、より信頼性高い半導体素子の配線構造を実現することが可能になる。
以下、添付図面を参照しつつ、本発明の好適な実施例について詳細に説明する。ただし、下記の実施例は、本発明の範囲を限定するためのものではなく、当該技術分野における通常の知識を持つ者に本発明をより完全に説明するために提供されるものである。
本発明の実施例では、洗浄対象層の表面汚染物を、アルコール(alcohol)類有機化合物に分散されたふっ素(F)を含む化学種(species)を含むエッチング液(etchant)を用いて洗浄する方法を提示する。洗浄対象層は、非酸化物の層、例えば、シリコン基板の単結晶シリコン層や多結晶シリコン層などであり、洗浄対象は、このような層の表面に自発的酸化によって発生した自然酸化物(native oxide)でありうる。また、洗浄対象層は、シリコン基板、多結晶シリコン層、非晶質シリコン層、タングステン(W)層、タングステン窒化物(WN)層、タングステンシリサイド(WSix)層、チタン(Ti)層、チタン窒化物(TiN)層、銅(Cu)層、アルミニウム(Al)層または亜鉛(Zn)層でありうる。
なお、自然酸化物としてはシリコン酸化物が挙げられる。この場合、自然酸化物は、化学気相蒸着(CVD)やスピンコーティング(spin coating)などのような蒸着方法によって形成されたシリコン酸化物層に比べて緻密な結合構造、例えば、共有結合構造を持っているため、蒸着による酸化物層に比べてエッチング液、例えば、希釈ふっ酸(diluted HF)溶液に対して低い湿式エッチング率を示す。したがって、シリコン酸化物蒸着層によって露出された洗浄対象層表面から自然酸化物を希釈ふっ酸溶液を用いて除去する過程で、望まぬシリコン酸化物蒸着層の深刻な消失が発生することがある。これにより、自己整列コンタクト(SAC)形成過程などで連結コンタクト同士が電気的に短絡したり、連結コンタクト同士間に電流漏れが生じるなどの問題があった。
本発明の実施例では、アルコール(alcohol)類有機化合物に分散されたふっ素(F)を含む化学種(species)を含むエッチング液(etchant)を用いて、シリコン酸化物蒸着層を含む別の蒸着層への損失を效果的に抑制しながら、洗浄対象層表面から特に自然酸化物を除去する方法を提示する。
ふっ素を含む化学種は、ふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)を意味することができる。このようなふっ素を含む化学種は、アルコール類有機化合物に分散された状態として理解すれば良く、ここで分散を促すために分散剤(surfactant)がエッチング液に添加されると良い。このとき、ふっ素を含む化学種は、ふっ酸溶液をアルコール類有機化合物の溶液に混合することによって分散されることができる。このとき、エッチング液は、10%未満の水分(H2O)を含有するように制御されることが好ましい。
アルコール類有機化合物には、イソプロピルアルコール(IPA:(CH32CHOH)のアルコール類が用いられることができ、また、ヒドロキシ基(OH)2つがそれぞれ異なる炭素(C)原子と結合してある有機化合物群であるグリコール(glycol)類が有用に用いられることができる。例えば、グリコール類は、簡単なエチレングリコール(CH2OHCH2OH)のような、炭素(C)、水素(H)及びヒドロキシ基(OH)を含む化合物として理解すれば良い。このようなグリコール類有機化合物は、半導体工程に適合するように物性が制御されるように化学量論的比(stoichiometric equation)が調節されたエチレングリコールとして理解すれば良い。
ここで、グリコールの場合、自然酸化物に対する別の蒸着層のエッチング選択比を略3.0以下、好ましくは1以下と低く具現するのにより有効なものとして評価されている。実質的に、自然酸化物に比べてボロホスホシリケートガラス(BPSG)のような蒸着絶縁層は希釈ふっ酸溶液に対して非常に高いエッチング率を示すことを考慮するとき、本発明の実施例で提示するエッチング液は、自然酸化物に対する蒸着絶縁層のエッチング比を低く制御した、すなわち、低い選択比(low selectivity)の洗浄液として理解されることができる。
本発明の実施例に提示されるエッチング液は、自然酸化物と別の蒸着された酸化物層とのエッチング選択比の差を下げる効果を奏でるものとして実験的に評価されている。特に、半導体素子の層間絶縁層として主として用いられているBPSG層に対するエッチング率が低くなるように導き、コンタクト形成過程で層間絶縁層に対する損失を防止しながら下部のジャンクション(junction)領域や別の導電層表面から自然酸化物を除去する効果が得られる。
したがって、本発明の実施例に提示される洗浄方法は、自己整列コンタクト(SAC)のような層間絶縁層の損失防止が必需的に要求される工程で自然酸化物を除去する洗浄に用いられることができる。これに限定されず、本発明の洗浄方法は、自然酸化物を除去する別の半導体素子製造過程に適用されることができ、なお、自然酸化物と共にエッチング残留物(etch residue)のような別の表面汚染物を除去する過程に適用されることもできる。
図1乃至図3は、本発明の実施例による表面洗浄を含む半導体素子製造方法を説明するために概略的に示す図である。本発明の実施例では、絶縁層によって露出される洗浄対象層表面を洗浄する過程を例示する。
図1を参照すると、半導体基板110のような洗浄対象層を導入する。ここで、半導体基板110には、シリコン酸化物層を含む素子分離層120が浅溝素子分離(STI)構造で形成されることができる。このような半導体基板110上に基板表面を一部露出するコンタクトホール135(contact hole)またはオープニング部(opening)を持つ絶縁層130が好適にシリコン酸化物層を含んで形成されることができる。絶縁層130のコンタクトホール135に露出された半導体基板110表面には、シリコンの自然酸化による自然酸化物などを含む表面汚染物140が生じることがある。この場合、表面汚染物140は、コンタクトホール135のエッチングによるエッチング残留物をさらに含むことができる。
図2を参照すると、表面汚染物140を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液を用いて湿式洗浄する。エッチング液は、アルコール類有機化合物としてイソプロピルアルコール(IPA)またはグリコールを少なくとも80%含むことができる。また、イソプロピルアルコールまたはグリコールに、ふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)がふっ素(F)を含む化学種として20%未満分散されることでエッチング液が構成されることができる。このとき、グリコールが、絶縁層130がエッチング液によってエッチングされる度合をより效果的に抑制するものとして実験的に評価された。ここで、エッチング液を構成する成分の百分率(%)は、重さ百分率(wt%)として理解すれば良い。
絶縁層130は、BPSGを含んで形成されることができ、ここで、ボロンは略20mol%以下、ホスホラスは略30mol%以下で含有されることができる。このようなBPSGは、ボロン及びホスホラスの含有によってシリコン原子と酸素原子が、自然酸化物の表面汚染物140に比べて緻密に結合されていない構造を持つことになる。自然酸化物の場合、実質的にシリコン原子と酸素原子が共有結合による構造となっているのに対し、BPSGは、ボロンやホスホラスによって共有結合が所々切れた構造となる。したがって、BPSGは、相対的に緻密でないシリコン酸化物構造を持つといえる。
したがって、従来の場合のように希釈ふっ酸溶液を用いて表面汚染物140を洗浄除去する場合、自然酸化物のエッチング除去速度に比べてBPSGのエッチング除去速度がはるかに速く、よって、コンタクトホール135同士間の部分が大きく消失されてしまい、残留する部分131が非常に狭まるか、コンタクトホール135同士が連結される程度に消失されることもある。
これに対し、本発明の実施例のエッチング液の場合、ふっ素化学種がシリコン酸化物の共有結合を切る役割を担うが、グリコールなどの成分がBPSGの切れてある結合基をパシベーション(passivation)して電位陰性度(electro negativity)の差を低く調節する役割を担う。したがって、エッチング液のグリコールなどの成分が、自然酸化物に対するBPSGのエッチング率を下げる役割を担うものとして理解すれば良い。したがって、本発明のエッチング液は、自然酸化物に対するBPSGのエッチング選択比をより低く誘導する効果、例えば、略3.0以下の低いエッチング選択比を実現できる。
希釈ふっ酸(DHF)を用いた湿式洗浄やLAL15(300:1BOE)の場合、自然酸化物に対するBPSGのエッチング選択比は、少なくとも5と知られており、一般的に、7〜8程度、酷くは9以上と測定される場合もある。すなわち、自然酸化物に比べてBPSGが少なくても7〜8倍程度速くエッチング除去されると評価されている。
これに比べて、本発明の実施例によるグリコール類及びふっ素化学種を含むエッチング液を用いると、エッチング選択比が1以下と好ましく具現されることが実験的に明らかになっている。すなわち、自然酸化物に対比してBPSGのような蒸着酸化物のエッチング速度が実質的に同等に誘導される、さらにはBPSG対比自然酸化物のエッチング速度が相対的に高くなる結果が得られる。
したがって、自然酸化物の除去による、BPSGを含む絶縁層130の損失または侵食を相対的により效果的に抑制でき、その結果、絶縁層130の損失による諸問題を解消できる。
図3を参照すると、自然酸化物を含む表面汚染物140が除去されたコンタクトホール135を埋め込む導電層を形成し、化学機械的研磨(CMP)またはエッチバック(etch−back)などで平坦化して連結コンタクト150またはプラグ(plug)を形成する。このとき、絶縁層130の損失が防止されたため、連結コンタクト150同士間の隔離もまた十分に保持可能である。
以上では洗浄対象層を半導体基板110の単結晶シリコン層部分(または、この部分に形成されたジャンクション層部分)として説明したが、本発明の実施例による洗浄は、別の導電層またはシリコン層、半導体層表面を洗浄するのに適用されても良い。例えば、洗浄対象層が、シリコン基板、多結晶シリコン層、非晶質シリコン層、タングステン(W)層、タングステン窒化物(WN)層、タングステンシリサイド(WSix)層、チタン(Ti)層、チタン窒化物(TiN)層、銅(Cu)層、アルミニウム(Al)層または亜鉛(Zn)層でありうる。また、本発明のエッチング液は、このような洗浄対象層が、自然酸化物に対する低いエッチング選択比、例えば、略3.0以下のエッチング選択比を持つようにし、当該洗浄対象層への侵食をより抑制しながら自然酸化物を除去することができる。
また、絶縁層130は、以上ではBPSGとしたが、ボロホスホシリケートガラス(BPSG)、ホスホシリケートガラス(PSG)、ボロシリケートガラス(BSG)、低圧−TEOS(Low Pressure−Tetra Ethyl Ortho Silicate)、プラズマ改善−TEOS(Plasma Enhanced−TEOS)、高密度プラズマシリコン酸化物(HDP silicon oxide)、アンドープシリケートガラス(USG)、低圧−窒化物(LP−nitride)、プラズマ改善窒化物(PE−nitride)、シリコン酸窒化物(SiON)、スピンオン誘電物(SOD)または熱酸化物(thermal oxide)とすることができ、これらが自然酸化物に対して略3.0以下の低いエッチング選択比、好ましくは1以下のエッチング選択比を有するように本発明の実施例によるエッチング液は誘導可能である。
本発明の実施例によるエッチング液は、自然酸化物などを含む表面汚染物140を除去する洗浄に用いることができる他、自然酸化物及びエッチング残留物を共に除去する洗浄にも適用することができる。また、本発明の実施例によるエッチング液は、上に例示したように、コンタクト150の形成前の洗浄に用いられる他、SAC過程や、別の自然酸化物の除去を要求する半導体素子製造過程にも用いられることができる。
図4乃至図9は、本発明の実施例による表面洗浄を含む半導体素子製造方法の変形例を説明するために概略的に示す図である。
図4を参照すると、本発明の実施例による表面洗浄液及び洗浄方法は、SAC過程に応用可能である。これに適用すると、層間絶縁層の消失または損傷による超短チャンネルMOSトランジスタの性能劣化を防止でき、配線信頼性を向上させることができる。
まず、半導体基板210にSTI過程を行い、半導体基板210上にゲートスタック(gate stack)220を形成する。すなわち、ゲート誘電層221、ゲート層である第1導電層222,223、側部のシリコン窒化物(SiN)スペーサ224及び上部のシリコン窒化物のマスク層(mask layer)またはギャップ層(capping layer)225を含むゲートスタック(stack)を形成する。
ここで、スペーサ224は、略5〜300Å厚のシリコン窒化物(SiN)層を含んで形成されることができる。また、シリコン窒化物層及びシリコン酸化物層の二重層を含んで形成されても良い。また、第1導電層222,223は、障壁金属層または多結晶シリコン層の下部導電層222と、タングステン層またはタングステンシリサイド層である上部導電層223の複合層構造で形成されることができる。その後、好ましく略1000Å以下の厚さを有するアンドープシリケートガラス(USG)層のスペーサ絶縁層230を形成する。このとき、USG層は、常圧化学気相蒸着(APCVD)、低圧化学気相蒸着(LPCVD)または原子層蒸着(ALD)等で蒸着されることができる。
図5を参照すると、ゲートスタック220を覆うように、スペーサ絶縁層230上に層間絶縁層240を、好ましくBPSG層を含んで形成する。BPSG層は、20mol%以下のボロン及び30mol%以下のホスホラスを含有するように蒸着すれば良い。BPSG層を蒸着した後にCMPなどで平坦化させる。
図6を参照すると、層間絶縁層240を、スペーサ224及びギャップ層225をエッチング障壁としてエッチングして、多数のゲートスタック220を露出するように拡張されたコンタクトホール241またはオープニング部を形成する。この過程はSAC過程によるものと理解すれば良い。このとき、ギャップ層225の一部はエッチングされ、消失された部分245が形成されても良い。
図7を参照すると、コンタクトホール241に露出された半導体基板210の表面に発生した汚染物250を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液を用いて洗浄する。この洗浄は、図2を参照して説明したように、層間絶縁層240の消失を好ましく抑制しながら汚染物250の自然酸化物を半導体基板210の表面から除去することができる。
図8を参照すると、洗浄されたコンタクトホール241を埋め込む第2導電層260を形成する。第2導電層260は、好ましくドープされた多結晶シリコン層を蒸着して形成すれば良い。
図9を参照すると、第2導電層260を、ギャップ層225が露出されるようにCMPなどで平坦化する。これにより、ゲートスタック220及び残留する層間絶縁層240の部分によって分離された連結コンタクト261が形成される。これらの連結コンタクト261は、トランジスタのジャンクション領域に連結されるプラグとして理解しても良い。
以上では具体的な実施例に挙げて本発明を説明してきたが、本発明はこれに限定されるものではなく、本発明の技術的思想内における当分野で通常の知識を持つ者によりその改変が可能であることは明白である。
本発明の実施例による表面洗浄を含む半導体素子製造方法を説明するために概略的に示す断面図である。 本発明の実施例による表面洗浄を含む半導体素子製造方法を説明するために概略的に示す断面図である。 本発明の実施例による表面洗浄を含む半導体素子製造方法を説明するために概略的に示す断面図である。 本発明の実施例による表面洗浄を含む半導体素子製造方法の変形例を説明するために概略的に示す断面図である。 本発明の実施例による表面洗浄を含む半導体素子製造方法の変形例を説明するために概略的に示す断面図である。 本発明の実施例による表面洗浄を含む半導体素子製造方法の変形例を説明するために概略的に示す断面図である。 本発明の実施例による表面洗浄を含む半導体素子製造方法の変形例を説明するために概略的に示す断面図である。 本発明の実施例による表面洗浄を含む半導体素子製造方法の変形例を説明するために概略的に示す断面図である。 本発明の実施例による表面洗浄を含む半導体素子製造方法の変形例を説明するために概略的に示す断面図である。
符号の説明
110 半導体基板、120 素子分離層、130 絶縁層、131 部分、135 コンタクトホール、140 表面汚染物、150 連結コンタクト、210 半導体基板、220 ゲートスタック、221 ゲート誘電層、222 下部導電層、223 上部導電層,第1導電層、224 スペーサ、225 ギャップ層、230 スペーサ絶縁層、240 層間絶縁層、241 コンタクトホール、245 部分、250 汚染物、260 第2導電層、261 連結コンタクト。

Claims (22)

  1. 洗浄対象層の表面汚染物を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液(etchant)を用いて洗浄する工程を含む半導体素子製造方法。
  2. 前記洗浄対象層は、シリコン基板、多結晶シリコン層、非晶質シリコン層、タングステン(W)層、タングステン窒化物(WN)層、タングステンシリサイド(WSix)層、チタン(Ti)層、チタン窒化物(TiN)層、銅(Cu)層、アルミニウム(Al)層及び亜鉛(Zn)層を含む群から選ばれるいずれか1層を含む、請求項1に記載の半導体素子製造方法。
  3. 前記洗浄は、前記アルコール類有機化合物としてイソプロピルアルコール(IPA)を80%以上含み、前記イソプロピルアルコールに、ふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)が前記ふっ素(F)を含む化学種として20%未満で分散された前記エッチング液を用いて行われる、請求項1に記載の半導体素子製造方法。
  4. 前記洗浄は、前記アルコール類有機化合物としてグリコール(glycol)類有機化合物を80%以上含み、前記グリコール(glycol)類有機化合物に、ふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)が前記ふっ素(F)を含む化学種として20%未満で分散された前記エッチング液を用いて行われる、請求項1に記載の半導体素子製造方法。
  5. 前記エッチング液は、前記ふっ素(F)を含む化学種の分散を促す分散剤(surfactant)を添加剤(ingredient)としてさらに含む、請求項3または4に記載の半導体素子製造方法。
  6. 前記洗浄は、水分含量が10%未満に制御された前記エッチング液を用いて行われる、請求項1に記載の半導体素子製造方法。
  7. 前記洗浄は、前記アルコール類有機化合物溶液にふっ酸(HF)溶液を混合して作られた前記エッチング液を用いて行われる、請求項1に記載の半導体素子製造方法。
  8. 前記洗浄は、前記エッチング液を用いて、前記洗浄対象層表面に形成された自然酸化物(native oxide)を除去するように行われる、請求項1に記載の半導体素子製造方法。
  9. 絶縁層を通じて露出される洗浄対象層表面の汚染物を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液(etchant)を用いて洗浄する工程を含む、半導体素子製造方法。
  10. 前記洗浄は、前記アルコール類有機化合物としてイソプロピルアルコール(IPA)またはグリコール類有機化合物を80%以上含み、前記有機化合物に、ふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)が前記ふっ素(F)を含む化学種として20%未満で分散された前記エッチング液を用いて行われる、請求項9に記載の半導体素子製造方法。
  11. 前記絶縁層は、ボロホスホシリケートガラス(BPSG)、ホスホシリケートガラス(PSG)、ボロシリケートガラス(BSG)、低圧−TEOS(Low Pressure−Tetra Ethyl Ortho Silicate)、プラズマ改善−TEOS(Plasma Enhanced−TEOS)、高密度プラズマシリコン酸化物(HDP silicon oxide)、アンドープシリケートガラス(USG)、低圧−窒化物(LP−nitride)、プラズマ改善窒化物(PE−nitride)、シリコン酸窒化物(SiON)、スピンオン誘電物(SOD)または熱酸化物(thermal oxide)を含む群より選ばれるいずれか1絶縁物質を含んで形成される、請求項9に記載の半導体素子製造方法。
  12. 下部層上に絶縁層を形成する工程と、
    前記絶縁層を選択的エッチングして、前記下部層の表面を露出するコンタクトホールを形成する工程と、
    前記コンタクトホールに露出された前記下部層表面の汚染物を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液(etchant)を用いて洗浄する工程と、
    前記コンタクトホールを導電層で埋め込んで連結コンタクトを形成する工程と、
    を含む、半導体素子製造方法。
  13. 前記洗浄は、前記アルコール類有機化合物としてイソプロピルアルコール(IPA)またはグリコール類有機化合物を80%以上含み、前記有機化合物に、ふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)が前記ふっ素(F)を含む化学種として20%未満で分散された前記エッチング液を用いて行われる、請求項12に記載の半導体素子製造方法。
  14. 半導体基板上に第1導電層、側部のスペーサ及び上部のギャップ層を含むゲートスタック(stack)を形成する工程と、
    これらのゲートスタックを覆う絶縁層を形成する工程と、
    前記絶縁層を前記スペーサ及び前記ギャップ層を障壁としてエッチングし、コンタクトホールを形成する工程と、
    前記コンタクトホールに露出された前記半導体基板の表面に発生した汚染物を、アルコール類有機化合物に分散されたふっ素(F)を含む化学種を含むエッチング液(etchant)を用いて洗浄する工程と、
    前記洗浄されたコンタクトホールを埋め込む第2導電層を形成する工程と、
    前記第2導電層を前記ギャップ層が露出されるように平坦化し、前記スタック及び残留する前記絶縁層部分によって分離された連結コンタクトを形成する工程と、
    を含む、半導体素子製造方法。
  15. 前記第1導電層は、ゲート誘電層を下部に有するゲート層で形成され、
    前記スペーサ及び前記ギャップ層は、シリコン窒化物を含んで形成され、
    前記絶縁層は、ボロホスホシリケート(BPSG)層を含んで形成され、
    前記絶縁層の下部に前記スペーサ及び前記ギャップ層を覆うスペーサ絶縁層がアンドープシリケートガラス(USG)層を含んで形成される段階をさらに含む、請求項14に記載の半導体素子製造方法。
  16. 前記洗浄は、前記絶縁層、前記スペーサ及び前記ギャップ層のエッチング率が自然酸化物に対して3.0以下となるように前記ふっ素(F)を含む化学種の含量が制御された前記エッチング液を用いて行われる、請求項14に記載の半導体素子製造方法。
  17. 前記洗浄は、前記絶縁層、前記スペーサ及び前記ギャップ層のエッチング率が自然酸化物に対して1.0以下となるように前記ふっ素(F)を含む化学種の含量が制御された前記エッチング液を用いて行われる、請求項14に記載の半導体素子製造方法。
  18. 前記洗浄は、前記アルコール類有機化合物としてイソプロピルアルコール(IPA)を80%以上含み、前記有機化合物にふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)が前記ふっ素(F)を含む化学種として20%未満で分散された前記エッチング液を用いて行われる、請求項14に記載の半導体素子製造方法。
  19. 前記洗浄は、前記アルコール類有機化合物としてグリコール類有機化合物を少なくとも80%以上含み、前記有機化合物に、ふっ酸(HF)、ふっ素イオン(F-)またはふっ酸イオン(HF2 -)が前記ふっ素(F)を含む化学種として20%未満で分散された前記エッチング液を用いて行われる、請求項14に記載の半導体素子製造方法。
  20. 前記エッチング液は、前記ふっ素(F)を含む化学種の分散を促す分散剤(surfactant)を添加剤(ingredient)としてさらに含む、請求項19に記載の半導体素子製造方法。
  21. 前記洗浄は、水分含量が10%未満に制御された前記エッチング液を用いて行われる、請求項14に記載の半導体素子製造方法。
  22. 前記洗浄は、前記エッチング液を用いて、前記下部層表面に残留するエッチング残留物(etch residue)または自然酸化物(native oxide)を除去するように行われる、請求項14に記載の半導体素子製造方法。
JP2007204914A 2006-08-18 2007-08-07 半導体素子製造方法 Pending JP2008047898A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060078351A KR100818708B1 (ko) 2006-08-18 2006-08-18 표면 세정을 포함하는 반도체소자 제조방법

Publications (1)

Publication Number Publication Date
JP2008047898A true JP2008047898A (ja) 2008-02-28

Family

ID=39095284

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007204914A Pending JP2008047898A (ja) 2006-08-18 2007-08-07 半導体素子製造方法

Country Status (5)

Country Link
US (1) US20080044990A1 (ja)
JP (1) JP2008047898A (ja)
KR (1) KR100818708B1 (ja)
CN (1) CN100561665C (ja)
TW (1) TW200811935A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012023387A1 (ja) * 2010-08-20 2012-02-23 三菱瓦斯化学株式会社 トランジスタの製造方法
CN102082091B (zh) * 2009-11-30 2012-07-11 上海华虹Nec电子有限公司 改善高密度等离子体化学气相淀积的磷硅玻璃形貌的方法
JP2013197214A (ja) * 2012-03-16 2013-09-30 Fujifilm Corp 半導体基板製品の製造方法及びエッチング液
KR101790090B1 (ko) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK2347775T3 (da) 2005-12-13 2020-07-13 Harvard College Skabeloner til celletransplantation
WO2009002401A2 (en) 2007-06-21 2008-12-31 President And Fellows Of Harvard College Scaffolds for cell collection or elimination
KR101406888B1 (ko) * 2007-12-13 2014-06-30 삼성전자주식회사 반도체 소자의 제조 방법
CN102006891B (zh) 2008-02-13 2017-04-26 哈佛学院董事会 连续的细胞程序化装置
US9370558B2 (en) 2008-02-13 2016-06-21 President And Fellows Of Harvard College Controlled delivery of TLR agonists in structural polymeric devices
WO2009146456A1 (en) 2008-05-30 2009-12-03 President And Fellows Of Harvard College Controlled release of growth factors and signaling molecules for promoting angiogenesis
US8252119B2 (en) * 2008-08-20 2012-08-28 Micron Technology, Inc. Microelectronic substrate cleaning systems with polyelectrolyte and associated methods
US8398779B2 (en) * 2009-03-02 2013-03-19 Applied Materials, Inc. Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
WO2010120749A2 (en) 2009-04-13 2010-10-21 President And Fellow Of Harvard College Harnessing cell dynamics to engineer materials
US8728456B2 (en) 2009-07-31 2014-05-20 President And Fellows Of Harvard College Programming of cells for tolerogenic therapies
CN102087963B (zh) * 2009-12-04 2013-08-14 无锡华润上华半导体有限公司 多晶硅层的蚀刻方法
CN102157435B (zh) * 2010-02-11 2013-01-30 中芯国际集成电路制造(上海)有限公司 接触孔形成方法
US9610328B2 (en) 2010-03-05 2017-04-04 President And Fellows Of Harvard College Enhancement of skeletal muscle stem cell engraftment by dual delivery of VEGF and IGF-1
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
EP2585053A4 (en) 2010-06-25 2014-02-26 Harvard College COMMON RELEASE OF STIMULATING AND HEMMING FACTORS FOR THE PRODUCTION OF TEMPORARY STABILIZED AND SPATULARLY LIMITED ZONES
US20130052774A1 (en) * 2010-06-29 2013-02-28 Kyocera Corporation Method for surface-treating semiconductor substrate, semiconductor substrate, and method for producing solar battery
EP2624873B1 (en) 2010-10-06 2019-12-04 President and Fellows of Harvard College Injectable, pore-forming hydrogels for materials-based cell therapies
WO2012064697A2 (en) 2010-11-08 2012-05-18 President And Fellows Of Harvard College Materials presenting notch signaling molecules to control cell behavior
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10647959B2 (en) 2011-04-27 2020-05-12 President And Fellows Of Harvard College Cell-friendly inverse opal hydrogels for cell encapsulation, drug and protein delivery, and functional nanoparticle encapsulation
US9675561B2 (en) 2011-04-28 2017-06-13 President And Fellows Of Harvard College Injectable cryogel vaccine devices and methods of use thereof
EP3417876B1 (en) 2011-04-28 2021-03-31 President and Fellows of Harvard College Injectable preformed macroscopic 3-dimensional scaffolds for minimally invasive administration
US9486512B2 (en) 2011-06-03 2016-11-08 President And Fellows Of Harvard College In situ antigen-generating cancer vaccine
KR20130010362A (ko) * 2011-07-18 2013-01-28 삼성전자주식회사 반도체 장치의 제조방법
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103178049B (zh) * 2011-12-22 2015-10-14 上海华虹宏力半导体制造有限公司 自对准接触孔绝缘层的结构及制备方法
LT2838515T (lt) 2012-04-16 2020-03-10 President And Fellows Of Harvard College Mezoporinės silico dioksido kompozicijos, skirtos imuninio atsako moduliavimui
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN107073090A (zh) 2014-04-30 2017-08-18 哈佛学院董事会 结合的疫苗装置和杀死癌细胞的方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20150371925A1 (en) * 2014-06-20 2015-12-24 Intel Corporation Through array routing for non-volatile memory
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
CA3012602A1 (en) 2015-01-30 2016-08-04 President And Fellows Of Harvard College Peritumoral and intratumoral materials for cancer therapy
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
WO2016164705A1 (en) 2015-04-10 2016-10-13 Omar Abdel-Rahman Ali Immune cell trapping devices and methods for making and using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
CN109072197A (zh) 2016-02-06 2018-12-21 哈佛学院校长同事会 重塑造血巢以重建免疫
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN109789092A (zh) 2016-07-13 2019-05-21 哈佛学院院长等 抗原呈递细胞模拟支架及其制备和使用方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11443936B2 (en) 2020-06-19 2022-09-13 Applied Materials, Inc. Methods and apparatus for aluminum oxide surface recovery

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050647A (ja) * 1996-05-14 1998-02-20 Samsung Electron Co Ltd 洗浄溶液およびそれを用いた洗浄方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6240933B1 (en) * 1997-05-09 2001-06-05 Semitool, Inc. Methods for cleaning semiconductor surfaces
JPH11323394A (ja) * 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
DE19844102C2 (de) * 1998-09-25 2000-07-20 Siemens Ag Herstellverfahren für eine Halbleiterstruktur
KR100307287B1 (ko) * 1998-11-20 2001-12-05 윤종용 반도체장치의패드제조방법
JP2001305752A (ja) 2000-04-26 2001-11-02 Daikin Ind Ltd 微細パターン用ポリマー剥離液組成物
TW518719B (en) * 2001-10-26 2003-01-21 Promos Technologies Inc Manufacturing method of contact plug
KR100827684B1 (ko) * 2001-10-30 2008-05-07 에이펫(주) 반도체 소자의 세정액 및 이를 이용한 세정 방법
US7223352B2 (en) * 2002-10-31 2007-05-29 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for ashed and unashed aluminum post-etch residue removal
US7045073B2 (en) * 2002-12-18 2006-05-16 Intel Corporation Pre-etch implantation damage for the removal of thin film layers
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法
KR100645458B1 (ko) * 2003-10-02 2006-11-13 주식회사 하이닉스반도체 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
US7253094B1 (en) * 2003-12-22 2007-08-07 Cypress Semiconductor Corp. Methods for cleaning contact openings to reduce contact resistance
KR100606187B1 (ko) * 2004-07-14 2006-08-01 테크노세미켐 주식회사 반도체 기판 세정용 조성물, 이를 이용한 반도체 기판세정방법 및 반도체 장치 제조 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1050647A (ja) * 1996-05-14 1998-02-20 Samsung Electron Co Ltd 洗浄溶液およびそれを用いた洗浄方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102082091B (zh) * 2009-11-30 2012-07-11 上海华虹Nec电子有限公司 改善高密度等离子体化学气相淀积的磷硅玻璃形貌的方法
WO2012023387A1 (ja) * 2010-08-20 2012-02-23 三菱瓦斯化学株式会社 トランジスタの製造方法
US8859411B2 (en) 2010-08-20 2014-10-14 Mitsubishi Gas Chemical Company, Inc. Method for producing transistor
JP5853953B2 (ja) * 2010-08-20 2016-02-09 三菱瓦斯化学株式会社 トランジスタの製造方法
JP2013197214A (ja) * 2012-03-16 2013-09-30 Fujifilm Corp 半導体基板製品の製造方法及びエッチング液
US8940644B2 (en) 2012-03-16 2015-01-27 Fujifilm Corporation Method of producing a semiconductor substrate product and etching liquid
KR101790090B1 (ko) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법

Also Published As

Publication number Publication date
CN101127299A (zh) 2008-02-20
CN100561665C (zh) 2009-11-18
TW200811935A (en) 2008-03-01
US20080044990A1 (en) 2008-02-21
KR20080016338A (ko) 2008-02-21
KR100818708B1 (ko) 2008-04-01

Similar Documents

Publication Publication Date Title
KR100818708B1 (ko) 표면 세정을 포함하는 반도체소자 제조방법
TWI249774B (en) Forming method of self-aligned contact for semiconductor device
US7875547B2 (en) Contact hole structures and contact structures and fabrication methods thereof
JP4538272B2 (ja) 湿式洗浄によるアタックを防止できる半導体装置の製造方法
KR20030056149A (ko) 반도체 소자 제조 방법
US7348240B2 (en) Method for forming metal line in flash memory device
US20060189080A1 (en) Method for fabricating semiconductor device
KR100343146B1 (ko) 다마신 구조의 게이트 전극이 형성된 반도체 소자 및 그의형성방법
US7678676B2 (en) Method for fabricating semiconductor device with recess gate
US7825030B2 (en) Method of forming a spacer
KR100505450B1 (ko) 다마신 공정을 이용한 반도체소자 제조 방법
JP4638139B2 (ja) 半導体素子の金属配線形成方法
JP4401156B2 (ja) 半導体素子の製造方法
KR100997434B1 (ko) 리세스 게이트를 갖는 반도체 장치 제조 방법
KR20070093794A (ko) 반도체 소자의 콘택플러그 제조 방법
KR100609980B1 (ko) 피엠디막의 과식각 방지 방법
KR101021176B1 (ko) 반도체 소자의 금속배선 형성방법
US7199013B2 (en) Semiconductor device and method for fabricating the same
KR100305206B1 (ko) 반도체 소자의 금속층간 절연막 형성 방법
KR20060017173A (ko) 반도체 소자의 절연막 형성 방법
KR100861372B1 (ko) 반도체소자의 폴리사이드 게이트 형성방법
KR100637100B1 (ko) 반도체 소자의 메탈 플러그 형성 방법
KR20100079015A (ko) 금속배선을 위한 콘택 형성 방법
KR20050061736A (ko) 반도체소자 제조 방법
KR20070033493A (ko) 패턴 형성 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100806

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120213

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20120224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120228

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120229

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130409