CN101087002A - 具有应力器件沟道的半导体结构及其形成方法 - Google Patents

具有应力器件沟道的半导体结构及其形成方法 Download PDF

Info

Publication number
CN101087002A
CN101087002A CNA2007101032974A CN200710103297A CN101087002A CN 101087002 A CN101087002 A CN 101087002A CN A2007101032974 A CNA2007101032974 A CN A2007101032974A CN 200710103297 A CN200710103297 A CN 200710103297A CN 101087002 A CN101087002 A CN 101087002A
Authority
CN
China
Prior art keywords
stressor
semiconductor layer
embedding
multilayer
epitaxial semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101032974A
Other languages
English (en)
Other versions
CN100524826C (zh
Inventor
骆志炯
里基·阿默斯
尼沃·洛维多
亨利·K.·尤托莫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis Technologies
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101087002A publication Critical patent/CN101087002A/zh
Application granted granted Critical
Publication of CN100524826C publication Critical patent/CN100524826C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

具有应力器件沟道的半导体结构及其形成方法。一种具有分级的掺杂剂分布的多层埋置应力器,其用于在半导体结构中对器件沟道区引发应力。本发明的多层埋置应力器在半导体结构的如下区域内形成,其中典型地定位有源极/漏极区。本发明的多层埋置应力器包括非掺杂或轻掺杂的第一共形外延半导体层,和相对于第一外延半导体层高掺杂的第二外延半导体层。第一和第二外延半导体层的每一个具有相同的点阵常数,其与埋置它们的基片的点阵常数不同。包含本发明多层埋置应力器的结构在应力接近度和短沟道效应之间获得了良好的平衡,甚至消除或大大减少了在形成深源极/漏极区期间通常会产生的任何可能的缺陷。

Description

具有应力器件沟道的半导体结构及其形成方法
技术领域
本发明涉及一种半导体器件及其形成方法。更明确地讲,本发明涉及一种互补金属氧化物半导体(CMOS)结构,其包括多层埋置应力器(streesor),具有分级的(graded)掺杂剂分布和至少一个共形的第一外延(epi)半导体。
背景技术
半导体器件基片内部的机械应力被广泛地用于调节器件性能。例如,在通用Si技术中,晶体管沟道沿着硅的{110}面取向。在这种布置中,当沟道受到沿着膜方向的压缩应力和/或沿着与沟道垂直方向的拉伸应力时,空穴的迁移率提高,而当硅膜受到沿着膜方向的拉伸应力和/或沿着与沟道垂直方向的压缩应力时,电子的迁移率提高。因此,能够在p沟道场效应晶体管(pFET)和/或n沟道场效应晶体管(nFET)的沟道区内有利地产生压缩和/或拉伸应力,以提高这种器件的性能。
一种可能的用于产生所需应力硅沟道区的方法是在CMOS器件的源极和漏极区形成埋置的SiGe或Si:C应力器(也就是应力阱),从而在源极和漏极区之间的沟道区内引发压或拉伸应力。尽管现在埋置应力器技术是众所周知的,但是将埋置的应力器集成进常规CMOS的工艺流程是极具挑战性的。CMOS器件的性能范围强烈地取决于埋置应力器自身所产生的应力、埋置应力器中的活性掺杂剂浓度和埋置应力器与器件沟道区的接近度。
大量致力于这一主题材料的技术研发表明了克服埋置应力器技术中上述各种缺陷的重要性。该技术中的一些最新进展在如下的文献中能够发现,例如,美国专利No.6,921,913;6,831,292;6,844,227;6,323,525;和5,442,205,以及美国专利申请公布No.20050082522和20040262694A1。
尽管在半导体工业中已经取得了这些进展,但是仍然需要进一步提高埋置应力器技术,以便在应力器接近度与短沟道效应之间获得良好的平衡。而且,还需要有一种能够消除可能的缺陷产生的埋置应力器技术,在现有技术的埋置应力器技术中,缺陷典型地在离子注入深源极/漏极区期间产生。
发明内容
在本发明中,提供了一种多层埋置应力器,其具有分级的掺杂剂分布和至少一个共形的第一外延半导体层。本发明的多层应力器在通常定位有源极/漏极区的半导体结构区域内形成。该具有本发明多层埋置应力器的结构在应力器接近度与短沟道效应之间获得了良好的平衡,甚至消除或者大大减少了通常在使用深注入形成深源极/漏极区期间产生的任何可能的缺陷。
根据本发明,提供了一种包含本发明多层埋置应力器的半导体结构,其包括:
至少一个场效应晶体管,其位于半导体基片的一个表面上;和
多层埋置应力器,其具有分级的掺杂剂分布和至少一个第一共形外延半导体层,该外延半导体层位于该至少一个场效应晶体管的占位区(footprint)上和所述半导体基片的凹陷区内,其中所述多层埋置应力器在所述至少一个场效应晶体管的沟道区上引发应力。
在本发明的一个实施例中,该至少一个场效应晶体管(FET)是pFET,该多层埋置应力器包括SiGe。在本发明的另一个实施例中,该至少一个场效应晶体管是nFET,该多层埋置应力器包括Si:C。在本发明的另外一个实施例中,该结构包括至少一个pFET和至少一个nFET,它们由隔离区分离,其中与所述pFET相关的所述多层埋置应力器包括SiGe,而该与所述nFET相关的多层埋置应力器包括Si:C。
如上所述,该多层埋置应力器至少包括共形布置于基片凹陷区内的第一外延半导体层。第一外延半导体层可以是非掺杂或轻掺杂的。本发明的多层埋置应力器还包括第二外延半导体层,其位于第一外延半导体层的顶上。该第二外延半导体层相对于第一外延半导体层的掺杂剂浓度是高掺杂的。
在单晶Si基片上形成pFET的实施例中,该多层埋置应力器包括共形布置于凹陷区内的第一外延SiGe层和位于第一外延SiGe层顶上的第二外延SiGe层。根据本发明,第一外延SiGe层是非掺杂或轻掺杂的。而第二外延SiGe层相对于第一外延SiGe层是高掺杂的。
在单晶Si基片上形成nFET的实施例中,该多层埋置应力器包括共形布置于凹陷区内的第一外延Si:C层和位于第一外延Si:C层顶上的第二外延Si:C层。根据本发明,第一外延Si:C层是非掺杂或轻掺杂的。而第二外延Si:C层相对于第一外延Si:C层是高掺杂的。
根据上述的结构,本发明还提供一种制造该结构的方法。大体上,本发明的方法包括:
提供至少一个场效应晶体管,其在半导体基片的一个表面上有扩展间隔件(extension spacer),所述半导体基片在该至少一个场效应晶体管的占位区上有凹陷区;
在所述凹陷区内所述半导体基片的暴露表面上共形生长第一外延半导体层,所述第一外延半导体层的点阵常数与所述半导体基片的点阵常数不同;
在所述第一外延半导体层上形成第二外延半导体层,其中所述第二外延半导体的点阵常数与第一外延半导体层的相同,并且比第一外延半导体层的掺杂剂浓度更高;和
在所述第一和第二外延半导体层的上部内形成扩展区。
注意,因为第一外延(epi)半导体层与凹陷区共形地形成,因此如此形成的多层埋置应力器(例如第一和第二外延半导体层的组合)与形成于半导体基片内的凹陷区的轮廓相同。在本发明的一些实施例中,多层埋置应力器基本上没有圆角。
附图说明
图1是显示一种结构的图示(剖面图),其中基片在位于包含单窄间隔件的场效应晶体管的占位区上的部分是凹陷的。
图2是显示图1的结构在共形布置了第一外延半导体层之后的图示(剖面图),其中该第一外延半导体层的点阵常数与基片凹陷区域内基片的点阵常数不同。
图3是显示图2的结构在布置了第二外延半导体层之后的图示(剖面图),其中第二外延半导体层的点阵常数与基片凹陷区内第一外延半导体层的点阵常数相同。
图4是显示图3的结构在第一和第二半导体层上部分内形成源极/漏极扩展区期间的图示(剖面图)。
图5是显示图4的结构在形成紧邻第一窄间隔件的第二较宽间隔件之后的图示(剖面图)。
具体实施方式
现在将参考下文本专利申请附加的讨论和附图对本发明进行更详细的说明,本发明提供了一种结构,其包括紧邻器件沟道的多层埋置应力器,并且本发明还提供了制造这种结构的方法。注意,附图只是出于例证的目的提供的,因此并没有按照比例加以绘制。
在下文的说明中,提出了大量特殊的细节,例如特殊的结构、部件、材料、尺寸、处理步骤和技巧,以便获得对本发明的完全理解。然而,本领域的普通技术人员应当意识到,本发明可以在没有这些特殊细节的情况下实现。在其他情况下,没有对众所周知的结构或工艺步骤进行详细的说明,以避免模糊本发明的描述。
应当理解,当提到一个元件,例如一个层、区域或基片,位于另一个元件的“上面”或“上方”时,它可以直接位于另一个元件上,或者也可以存在隔离元件。对比地,当提到一个元件“直接位于”或“直接处于”另一个元件的“上面”或“上方”时,则不存在隔离元件。还应当理解,当提到一个元件位于另一个元件的“下面”或“下方”时,它可以直接位于另一个元件下面,或者也可以存在隔离元件。对比地,当提到一个元件“直接位于”或“直接处于”另一个元件的“下面”或“下方”时,则不存在隔离元件。
如上所述,在半导体基片内提供了多层埋置应力器,其具有分级的掺杂剂分布和共形布置在提供于半导体基片中的凹陷区内的至少一个第一外延半导体层,用于在具有至少一个CMOS器件的半导体结构内使用。本发明的多层应力器形成于半导体结构中典型地具有源极/漏极区的区域内。含有本发明多层埋置应力器的结构在应力接近度和短沟道效应之间实现了良好的平衡,甚至消除或大大减少了通常在形成深源极/漏极区期间产生的可能的缺陷。在本发明的一些实施例中,本发明的多层埋置应力器基本上不包含圆角。
首先参考图1,其图解了本发明采用的初始结构10。如图所示,初始结构10包括半导体基片12,其中形成有至少一个隔离区14(在附图中具体显示了一对隔离区)。初始结构10还包括凹陷区16,其形成于半导体基片12的一部分内。该凹陷区16位于至少一个场效应晶体管(FET)18的占位区上。
尽管图1和其余的附图都显示了单一的FET,但是本发明也考虑在同一个半导体基片12上形成多个FET。多个FET可以具有相同的导电性(也就是全为nFET或全为pFET)。选择地,多个FET可以具有不同的导电性(也就是,一些为nFET而一些为pFET)。当形成不同的多个FET时,采用与图1-5所示相同的基本工艺步骤,只是可以使用阻挡掩模处理一组的FET,而保护另一组的FET。
该至少一个FET18包括栅极堆叠,其包括栅极电介质20、栅极导体22和电介质帽24。在栅极堆叠的一个侧壁上布置第一窄间隔件26。器件沟道25位于基片12的台面部分中。
初始结构的各个部件都用本领域技术人员熟知的传统材料构成。另外,初始结构10的制造,直到第一窄间隔件26的形成,但不包括凹陷区16的形成,都是利用本领域技术人员所熟知的技术。
本发明所采用的初始结构10的半导体基片12包括任何半导体材料,包括但不仅限于:Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP和所有其它III/V或II/V化合物半导体。半导体基片12也可以包括有机半导体或分层半导体,例如Si/SiGe、绝缘体上硅(SOI)或绝缘体上SiGe(SGOI)。在本发明的一些实施例中,优选地,半导体基片12包括含Si半导体材料,也就是,含有硅的半导体材料。半导体基片12可以是掺杂的、非掺杂的或者其中含有掺杂和非掺杂区。根据本发明,半导体基片12具有第一点阵常数,其与随后形成的埋置多应力器的不同。
当采用SOI基片时,这些基片包括顶和底半导体,例如Si层,它们通过埋置的绝缘层至少部分分离。该埋置的绝缘层包括,例如,晶体或非晶体氧化物、氮化物或其任意组合。优选地,埋置绝缘层是一种氧化物。典型地,埋置绝缘层在层转移处理的初始阶段或在离子注入和退火处理,例如SIMOX(通过氧离子注入隔离)期间加以形成。
基片12可以具有单晶体取向,或者选择地,还可以采用具有不同晶体取向的表面区的混合半导体基片。混合基片允许在特定的晶体取向制造FET,提高所形成的特定FET的性能。例如,混合基片允许制造一种结构,其中能够在{110}晶向上形成pFET,同时在{100}晶向上形成nFET。当使用混合基片时,它可以具有类似SOI的性质、类似块体(bulk-like)的性质或者类似SOI和类似块体性质的组合。
半导体基片12还可以包括第一掺杂(n-或p-)区和第二掺杂(n-或p-)区。为清楚起见,在本发明的附图中没有具体显示掺杂区。第一掺杂区和第二掺杂区可以是相同的,或者它们可以具有不同的导电性和/或掺杂浓度。这些掺杂区被称作“阱”。
然后,典型地在半导体基片12内形成至少一个隔离区14。隔离区14可以是沟槽隔离区(如图所示)或场氧化物隔离区。沟槽隔离区的形成是利用本领域技术人员所熟知的传统沟槽隔离工艺。例如,可以在形成沟槽隔离区时使用光刻、刻蚀并用沟槽电介质填充沟槽。任选地,在沟槽填充之前可以在沟槽内形成内衬,在沟槽填充之后执行致密化(densification)步骤,以及在沟槽填充之后进行平面化处理。场氧化物的形成可以采用所谓的局部硅氧化处理。注意,该至少一个隔离区在相邻栅极区之间提供了隔离,通常在相邻栅极具有相反导电性时需要如此。相邻栅极区可以具有相同的导电性(也就是,全为n-或p-型),或者选择地,它们能够具有不同的导电性(也就是,一个为n-型,另一个为p-型)。
在本发明的这个方面,在形成FET 18时可以使用传统的CMOS工艺流程,包括沉积栅极堆叠的各种材料层、光刻和刻蚀。选择地,在形成FET 18时可以使用置换栅极处理。
如上所述,FET 18包括含有栅极电介质20的栅极堆叠、栅极导体22和电介质帽24。栅极电介质20布置在半导体基片12的一个表面上,能够通过热生长处理,例如氧化、氮化或氧氮化而加以形成。选择地,栅极电介质20能够通过沉积处理,例如化学气相沉积(CVD)、等离子体辅助CVD、金属有机化学气相沉积(MOCVD)、原子层沉积(ALD)、蒸镀、反应溅射、化学溶液沉积和其它类似的沉积处理,加以形成。栅极电介质20还可以用上述处理的任意组合加以形成。
栅极电介质20包括介电常数为大约4.0或更大的绝缘材料。本文所提及的所有介电常数都是与真空相比,除非特殊提及。在一个实施例中,栅极电介质20包括高k材料。术语“高k”是指介电常数大于4.0,优选地大于7.0的电介质。明确地讲,本发明采用的栅极电介质20包括但不仅限于:氧化物、氮化物、氧氮化物和/或硅化物,包括金属硅化物和氮化金属硅化物。在一个实施例中,优选地,栅极电介质20包括氧化物,例如SiO2、HfO2、ZrO2、Ai2O3、TiO2、La2O3、SrTiO3、LaAlO3、Y2O3、Ga2O3、GdGaO及它们的混合物。
栅极电介质20的物理厚度可以改变,但典型地,栅极电介质20的厚度为大约0.5-大约10nm,更典型的厚度为大约0.5-大约3nm。
接着,在栅极电介质20的上暴露表面上形成栅极导体22。栅极导体22包括导电材料,包括例如多晶Si、SiGe、金属、金属合金、金属硅化物、金属氮化物、金属碳化物或含有其多层的组合。当存在多层时,可以在每个导体层之间设置扩散阻挡层(未显示),例如TiN或TaN。
栅极导体22的形成是利用传统的沉积处理,包括例如,化学气相沉积、等离子体增强化学气相沉积、原子层沉积、溅射、电镀、蒸镀和任何其它类似的沉积处理。在一个实施例中,其中使用多晶Si或SiGe作为栅极电极,可以使用原位沉积处理,或者选择地,在离子注入之后进行沉积。此时,在本发明中,栅极导体22可以通过离子注入进行掺杂,从而改变栅极电极的功函数。能够使用的掺杂剂离子的实例包括As、P、B、Sb、Bi、In、Al、Ga、Tl或其混合物。栅极导体22的厚度对于本发明而言并不重要。然而典型地,栅极导体22的厚度为大约20-大约250nm。
每个FET 18的栅极堆叠还包括位于栅极导体22表面上的电介质帽24。该电介质帽24包括氧化物、氮化物、氧氮化物或其任意组合,利用传统的沉积处理加以形成,包括例如CVD、PECVD和蒸镀。电介质帽24的厚度可以变化的范围典型地为大约10-大约100nm。
在形成栅极堆叠之前(在置换栅极处理流程中)或之后(在传统的CMOS处理流程中)形成的第一窄间隔件26,其构成材料与电介质帽24的电介质材料相同或不同。该第一窄间隔件26典型地通过沉积和刻蚀加以形成,并且在沿着其最底表面的宽度典型地为大约1-大约50nm。窄间隔件26也可以通过氧化处理加以形成。第一窄间隔件26还可被称作扩展间隔件,因为它通常存在于形成源极/漏极扩展区的时候。
在处理基片12、形成FET 18和第一窄间隔件26之后,利用第一窄间隔件26和电介质帽24作为刻蚀掩模在每个FET 18占位区上的基片12内形成至少一个凹陷区16。凹陷区16的形成可以使用各向异性刻蚀处理,例如反应离子刻蚀(RIE)。各向异性刻蚀处理提供的凹陷区16,其具有一个与隔离区14的外边缘对准的外边缘,和另一个与第一窄间隔件26基本上对准的边缘。术语“基本上对准”是指,各向异性刻蚀处理在FET 18的栅极堆叠下面提供很小或者不提供底切。作为在此提供凹陷区16优选技术的各向异性刻蚀还由于造成较小的沟槽隔离凹陷而有助于提高隔离。除了各向异性刻蚀之外,本发明还考虑利用各向同性刻蚀处理,其典型地在每个FET 18栅极堆叠的下面提供横向底切区(未显示)。
在如图1所示地在基片12内提供了凹陷区16之后,在凹陷区16内形成第一外延半导体层28,其点阵常数与下面的半导体基片12点阵常数不同。注意,第一外延半导体层28与第二外延半导体层30(在下文有更详细的说明)一起形成本发明的多层埋置应力器。例如图2显示了包含该第一外延半导体层28的最终结构。为了清晰起见,FET的各个元件在图2或其余附图中没有标定数字。
根据本发明,第一外延半导体层28是非掺杂、轻掺杂或其组合。“轻掺杂”是指第一外延半导体层28的掺杂剂浓度为大约1E18原子/cm3或者更低,更典型的掺杂剂浓度为大约1e14-1e18原子/cm3。根据待形成器件的类型,第一外延半导体层28内的掺杂剂可以是n-型或p-型掺杂剂。
当半导体基片12包括单晶硅时,第一外延半导体层28包括假SiGe或Si:C。因为第一外延半导体层28的点阵常数与半导体基片12的不同,因此在凹陷区15内会产生拉伸或压缩应力。该应力区进而将应力施加给FET的沟道区。例如,使用SiGe形成压缩应力埋置应力器,用于在pFET的沟道区内产生压缩应力。FET的沟道区是基片12位于FET 18栅极堆叠下面的区域。选择地,能够使用Si:C形成拉伸应力埋置应力器,用于在nFET的沟槽区域内产生拉伸应力。
根据本发明,第一外延半导体层28利用共形外延生长处理在凹陷区16内形成。利用共形外延处理确保第一外延半导体层28与限定凹陷区16的半导体基片12的暴露表面共形。也就是说,共形外延处理在凹陷区16内提供了遵循凹陷区16的轮廓的第一外延半导体层28。
第一外延半导体层28的厚度可以根据外延生长处理的精确条件而改变。这些条件对于本领域的技术人员而言是众所周知的,因此本文不再对外延生长条件进行更详细的说明。典型地,第一外延半导体层28的厚度为大约1-大约30nm,更典型的厚度为大约2-大约15nm。
接着,如图3所示,在第一外延半导体层28顶上的凹陷区16内形成第二外延半导体30,例如图3提供了该结构。根据本发明,第二外延半导体层30具有与第一外延半导体层28相同的点阵常数,并且典型地包括与第一外延半导体层28相同的半导体材料。然而,第二外延半导体层30与第一外延半导体层28的不同之处在于,第二外延半导体层是高掺杂的。“高掺杂”是指,掺杂剂浓度(p-型或n-型)大于1E18原子/cm3,更典型的掺杂剂浓度为大于1e18-大约1e22原子/cm3。在本发明的一个实施例中,当使用单晶Si基片时,第二外延半导体层30包括假SiGe或Si:C。
第二外延半导体层30通过传统的外延生长处理,包括上面提到的共形处理,加以形成。
图4显示了在源极/漏极扩展区的离子注入期间的结构,其中指代数字32表示在形成源极/漏极扩展区时使用的离子。注意,源极/漏极扩展区形成到第二外延半导体层30的上部分之中以及位于半导体基片12台面部分侧壁上的第一外延半导体层28的上部分之中。源极/漏极扩展区的离子注入的执行利用的是本领域技术人员熟知的标准条件。典型地,所提供的源极/漏极扩展具有一个浅结,掺杂剂浓度为1e19-1e23原子/cm3。在离子注入之后可以进行活性退火以活化注入的物质,或者可以在随后可能采用的热处理中对源极/漏极扩展进行活化。
在一些实施例中,可以和扩展注入一起执行晕轮注入(haloimplant)。在本发明的另一些实施例中,晕轮注入可以在使半导体基片凹陷之前执行。本发明中使用传统的晕轮注入条件。
图5显示的结构包括位于第一和第二外延半导体层上部分内的源极/漏极扩展区34。图5还显示了在形成了邻接第一窄间隔件26的第二宽间隔件36之后的结构。第二宽间隔件36典型地但不必总是包括与第一窄间隔件26不同的绝缘材料。第二宽间隔件36的宽度在沿着其邻近第二外延半导体层30的底表面加以测量时,是大约5-大约100nm。
因为第二外延半导体层30是高掺杂的,所以能够避免传统的深源极/漏极离子注入,因此在多埋置应力器(也就是,外延半导体层28和30)内不会引发损伤。然而在一些实施例中,也可以执行传统的深源极/漏极离子注入。
上述的处理提供了一种结构,其包括非常临近器件沟道并具有分级的掺杂剂分布的多层埋置应力器(也就是,外延半导体层28和30)。“非常临近”是指,本发明的应力器与器件沟道的距离为大约30nm或者更小。这个距离通常是第一窄间隔件26的宽度。在现有技术处理中,埋置应力器离器件沟道更远,典型地为上述第一和第二间隔件的宽度。
本专利申请的另一个优点在于,本发明具有分级的掺杂剂分布和共形布置在凹陷区内的至少第一外延半导体层的多层埋置应力器,与传统结构相比,提供了改善的阈值电压滚降(roll-off),并且很少或没有短沟道效应。在外延处理或随后的热处理中,由于第一非掺杂或轻掺杂第一外延层,扩散进入沟道的掺杂剂要少得多,同时整个应力器与沟道非常临近,但显著降低了短沟道效应,并保持了高沟道应力。
尽管本发明是通过参考其优选的实施例加以具体显示和说明的,但是本领域的技术人员应当理解,在不背离本发明精神和范围的前提下,可以对形式和细节进行前述的和其他的改变。因此应当注意,本发明并不仅限于所描述和说明的精确形式和细节,其范围只由附加权利要求限定。

Claims (20)

1.一种半导体结构,其具有应力器件沟道,包括:
至少一个位于半导体基片的一个表面上的场效应晶体管;和
多层埋置应力器,其具有分级的掺杂剂分布和位于该至少一个场效应晶体管的占位区上,并处于所述半导体基片凹陷区内的至少第一共形外延半导体层,其中所述多层埋置应力器在所述至少一个场效应晶体管的沟道区上引发应力。
2.根据权利要求1的半导体结构,其中所述多层埋置应力器的点阵常数与半导体基片不同。
3.根据权利要求1的半导体结构,其中所述多层埋置应力器进一步包括位于所述第一共形外延半导体层的上面的第二外延半导体层。
4.根据权利要求1的半导体结构,其中所述第一外延半导体层是非掺杂的,或者掺杂剂浓度为大约1E18原子/cm3或者更低。
5.根据权利要求3的半导体结构,其中所述第二外延半导体层的掺杂剂浓度大于1E18原子/cm3
6.根据权利要求1的半导体结构,其中所述半导体基片是单晶Si基片,且所述多层埋置应力器包括SiGe。
7.根据权利要求1的半导体结构,其中所述半导体基片是单晶Si基片,且所述多层埋置应力器包括Si:C。
8.根据权利要求1的半导体结构,其中所述至少一个场效应晶体管包括pFET和nFET,与所述pFET相关的所述多层埋置应力器受压缩应力,与所述nFET相关的所述多层埋置应力器受拉伸应力。
9.根据权利要求8的半导体结构,其中所述压缩应力多层埋置应力器包括SiGe,且所述拉伸应力多层埋置应力器包括Si:C。
10.根据权利要求1的半导体结构,在多层埋置应力器的上部分内进一步包括扩展区。
11.一种半导体,包括:
至少一个位于单晶Si基片的表面上的p-场效应晶体管;和
多层埋置SiGe应力器,其具有分级的掺杂剂分布和位于该至少一个p-场效应晶体管的占位区上并且处于所述基片的凹陷区内的至少第一共形外延半导体层,其中所述多层埋置SiGe应力器在所述至少一个p-场效应晶体管的沟道区上引发压缩应力。
12.一种形成具有应力器件沟道的半导体结构的方法,包括:
提供至少一个场效应晶体管,其在半导体基片的一个表面上有扩展间隔件,所述半导体基片在该至少一个场效应晶体管的占位区上有凹陷区;
在所述凹陷区内所述半导体基片的暴露表面上共形生长第一外延半导体层,所述第一外延半导体层的点阵常数与所述半导体基片的点阵常数不同;
在所述第一外延半导体层上形成第二外延半导体层,其中所述第二外延半导体的点阵常数与第一外延半导体层的相同,并且比第一外延半导体层的掺杂剂浓度更高;和
在所述第一和第二外延半导体层的上部内形成扩展区。
13.根据权利要求12的方法,其中所述凹陷区是通过各向异性刻蚀形成的。
14.根据权利要求12的方法,其中所述凹陷区是通过各项同性刻蚀形成的。
15.根据权利要求12的方法,其中所述第一外延半导体层是非掺杂的,或者掺杂剂浓度为大约1E18原子/cm3或更小。
16.根据权利要求12的方法,其中所述第二外延半导体层的掺杂剂浓度大于1E18原子/cm3
17.根据权利要求12的方法,其中所述半导体基片是单晶Si基片,且所述第一和第二外延半导体层形成包括SiGe的多层埋置应力器。
18.根据权利要求12的方法,其中所述半导体基片是单晶Si基片,且所述第一和第二外延半导体层形成包括Si:C的多层埋置应力器。
19.根据权利要求12的方法,其中所述至少一个场效应晶体管包括pFET和nFET,且与所述pFET有关的所述第一和第二外延半导体层受压缩应力,与所述nFET有关的所述第一和第二外延半导体层受拉伸应力。
20.根据权利要求12的方法,进一步包括形成临近所述扩展间隔件的第二间隔件,所述第二间隔件在形成所述扩展区的所述步骤之后形成。
CNB2007101032974A 2006-06-09 2007-05-15 具有应力器件沟道的半导体结构及其形成方法 Expired - Fee Related CN100524826C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/423,227 US7618866B2 (en) 2006-06-09 2006-06-09 Structure and method to form multilayer embedded stressors
US11/423,227 2006-06-09

Publications (2)

Publication Number Publication Date
CN101087002A true CN101087002A (zh) 2007-12-12
CN100524826C CN100524826C (zh) 2009-08-05

Family

ID=38918342

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007101032974A Expired - Fee Related CN100524826C (zh) 2006-06-09 2007-05-15 具有应力器件沟道的半导体结构及其形成方法

Country Status (4)

Country Link
US (2) US7618866B2 (zh)
JP (1) JP5220348B2 (zh)
CN (1) CN100524826C (zh)
TW (1) TW200807715A (zh)

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102569645A (zh) * 2010-12-17 2012-07-11 中芯国际集成电路制造(上海)有限公司 相变存储器及其形成方法
CN102856202A (zh) * 2011-06-29 2013-01-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法,pmos晶体管及其形成方法
CN102856383A (zh) * 2011-07-01 2013-01-02 三星电子株式会社 半导体器件及其制造方法
CN102931083A (zh) * 2011-08-10 2013-02-13 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN102931058A (zh) * 2011-08-08 2013-02-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法,pmos晶体管的形成方法
CN102938377A (zh) * 2011-08-15 2013-02-20 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法,pmos晶体管及其形成方法
CN103377932A (zh) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制造方法
CN103632975A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制作方法
CN103887341A (zh) * 2014-03-20 2014-06-25 上海华力微电子有限公司 一种场效应晶体管的制备方法
CN104064464A (zh) * 2013-03-21 2014-09-24 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN104733513A (zh) * 2013-12-19 2015-06-24 台湾积体电路制造股份有限公司 用于沟道应变的锗分布
US9349810B2 (en) 2010-12-21 2016-05-24 Intel Corporation Selective germanium P-contact metalization through trench
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
CN102856202B (zh) * 2011-06-29 2016-12-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法,pmos晶体管及其形成方法
CN104103686B (zh) * 2013-04-03 2017-03-01 旺宏电子股份有限公司 半导体结构、mosfet存储单元阵列及该阵列的形成方法
CN109950314A (zh) * 2017-12-21 2019-06-28 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
CN110854182A (zh) * 2019-11-13 2020-02-28 上海华力集成电路制造有限公司 Pmos半导体器件及其制造方法
CN113113474A (zh) * 2020-04-27 2021-07-13 台湾积体电路制造股份有限公司 半导体器件、集成芯片及其制造方法

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007220808A (ja) * 2006-02-15 2007-08-30 Toshiba Corp 半導体装置及びその製造方法
JP4989085B2 (ja) * 2006-02-24 2012-08-01 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
JP5307973B2 (ja) * 2006-02-24 2013-10-02 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー 半導体装置
US7504301B2 (en) * 2006-09-28 2009-03-17 Advanced Micro Devices, Inc. Stressed field effect transistor and methods for its fabrication
EP1936696A1 (en) * 2006-12-22 2008-06-25 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) A field effect transistor device and methods of production thereof
US7538387B2 (en) * 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
JP5100137B2 (ja) * 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
KR101007242B1 (ko) * 2007-02-22 2011-01-13 후지쯔 세미컨덕터 가부시키가이샤 반도체 장치 및 그 제조 방법
JP5003515B2 (ja) 2007-03-20 2012-08-15 ソニー株式会社 半導体装置
US7745847B2 (en) 2007-08-09 2010-06-29 United Microelectronics Corp. Metal oxide semiconductor transistor
US8043919B2 (en) * 2007-11-12 2011-10-25 United Microelectronics Corp. Method of fabricating semiconductor device
US7892932B2 (en) * 2008-03-25 2011-02-22 International Business Machines Corporation Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure
JP2009290069A (ja) * 2008-05-30 2009-12-10 Renesas Technology Corp 半導体装置およびその製造方法
JP2010021525A (ja) * 2008-06-13 2010-01-28 Toshiba Corp 半導体装置の製造方法
US20100047985A1 (en) * 2008-08-19 2010-02-25 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device with self-aligned stressor and extension regions
US20100109045A1 (en) * 2008-10-30 2010-05-06 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing stress-engineered layers
JP5278022B2 (ja) * 2009-02-17 2013-09-04 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5668277B2 (ja) 2009-06-12 2015-02-12 ソニー株式会社 半導体装置
EP2270840B1 (en) * 2009-06-29 2020-06-03 IMEC vzw Method for manufacturing an III-V material substrate and the substrate thereof
US9059318B2 (en) * 2009-08-31 2015-06-16 International Business Machines Corporation Stressed source/drain CMOS and method of forming same
JP2011054740A (ja) * 2009-09-01 2011-03-17 Toshiba Corp 半導体装置及びその製造方法
US20110049582A1 (en) * 2009-09-03 2011-03-03 International Business Machines Corporation Asymmetric source and drain stressor regions
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US8404538B2 (en) * 2009-10-02 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Device with self aligned stressor and method of making same
US8035141B2 (en) * 2009-10-28 2011-10-11 International Business Machines Corporation Bi-layer nFET embedded stressor element and integration to enhance drive current
WO2011078240A1 (ja) * 2009-12-22 2011-06-30 キヤノンアネルバ株式会社 ドープエピタキシャル膜の選択成長方法及びドープエピタキシャル膜の選択成長装置
US9331174B2 (en) * 2010-04-15 2016-05-03 Globalfoundries Inc. Method for improving device performance using epitaxially grown silicon carbon (SiC) or silicon-germanium (SiGe)
US8236660B2 (en) 2010-04-21 2012-08-07 International Business Machines Corporation Monolayer dopant embedded stressor for advanced CMOS
CN101859796B (zh) * 2010-05-20 2012-11-14 清华大学 具有原位掺杂源漏的mos管结构及其形成方法
US9490179B2 (en) * 2010-05-21 2016-11-08 Semiconductor Energy Laboratory Co., Ltd. Semiconductor element and semiconductor device
US8299535B2 (en) * 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
CN102315126A (zh) * 2010-07-07 2012-01-11 中国科学院微电子研究所 半导体器件及其制作方法
US8633522B2 (en) * 2010-08-31 2014-01-21 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor structure and method for fabricating the same
US8551845B2 (en) * 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
US8357579B2 (en) * 2010-11-30 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
DE102010063782B4 (de) * 2010-12-21 2016-12-15 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Transistoren mit Metallgatestapeln mit großem ε und einem eingebetteten Verspannungsmaterial
US8361847B2 (en) * 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
CN102623405B (zh) * 2011-01-30 2014-08-20 中国科学院微电子研究所 一种形成半导体结构的方法
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
US9064892B2 (en) 2011-08-30 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
CN103165664B (zh) * 2011-12-13 2016-08-24 中芯国际集成电路制造(北京)有限公司 半导体器件和半导体器件制造方法
CN103165464B (zh) * 2011-12-19 2016-02-17 中芯国际集成电路制造(上海)有限公司 采用e-SiGe的PMOS制造方法
US8697523B2 (en) * 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US9263342B2 (en) 2012-03-02 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a strained region
US8853750B2 (en) 2012-04-27 2014-10-07 International Business Machines Corporation FinFET with enhanced embedded stressor
US9041119B2 (en) 2012-05-07 2015-05-26 International Business Machines Corporation Forming CMOS with close proximity stressors
US8912070B2 (en) * 2012-08-16 2014-12-16 The Institute of Microelectronics Chinese Academy of Science Method for manufacturing semiconductor device
US9018690B2 (en) * 2012-09-28 2015-04-28 Silicon Storage Technology, Inc. Split-gate memory cell with substrate stressor region, and method of making same
US9281195B2 (en) * 2013-03-12 2016-03-08 Macronix International Co., Ltd. Semiconductor structure
TWI565070B (zh) * 2013-04-01 2017-01-01 旺宏電子股份有限公司 半導體結構
CN104143511B (zh) * 2013-05-09 2016-12-28 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的制作方法
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9647073B2 (en) 2014-10-29 2017-05-09 Globalfoundries Inc. Transistor structures and fabrication methods thereof
TWI636574B (zh) 2014-12-03 2018-09-21 聯華電子股份有限公司 半導體結構
US10665693B2 (en) * 2015-04-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9735175B2 (en) 2015-10-09 2017-08-15 International Business Machines Corporation Integrated circuit with heterogeneous CMOS integration of strained silicon germanium and group III-V semiconductor materials and method to fabricate same
US10529808B2 (en) 2016-04-01 2020-01-07 Intel Corporation Dopant diffusion barrier for source/drain to curb dopant atom diffusion
US10026840B2 (en) * 2016-10-13 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
WO2018182570A1 (en) * 2017-03-28 2018-10-04 Intel IP Corporation Assymetric transistor arrangements with smartly spaced drain regions
KR20210026825A (ko) 2019-09-02 2021-03-10 삼성전자주식회사 안티몬 도핑층을 가진 소스/드레인 영역을 포함하는 반도체 소자

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5442205A (en) * 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
US5264713A (en) * 1991-06-14 1993-11-23 Cree Research, Inc. Junction field-effect transistor formed in silicon carbide
JPH05283685A (ja) * 1992-04-03 1993-10-29 Ricoh Co Ltd 半導体装置とその製造方法
JP3544833B2 (ja) * 1997-09-18 2004-07-21 株式会社東芝 半導体装置及びその製造方法
WO2000030169A1 (en) * 1998-11-12 2000-05-25 Intel Corporation Field effect transistor structure with abrupt source/drain junctions
US6887762B1 (en) * 1998-11-12 2005-05-03 Intel Corporation Method of fabricating a field effect transistor structure with abrupt source/drain junctions
US6844227B2 (en) * 2000-12-26 2005-01-18 Matsushita Electric Industrial Co., Ltd. Semiconductor devices and method for manufacturing the same
EP1428262A2 (en) * 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
JP4375619B2 (ja) * 2004-05-26 2009-12-02 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
KR100642747B1 (ko) * 2004-06-22 2006-11-10 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
US7199442B2 (en) * 2004-07-15 2007-04-03 Fairchild Semiconductor Corporation Schottky diode structure to reduce capacitance and switching losses and method of making same
US7135724B2 (en) * 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7309901B2 (en) * 2005-04-27 2007-12-18 International Business Machines Corporation Field effect transistors (FETs) with multiple and/or staircase silicide
US7329937B2 (en) * 2005-04-27 2008-02-12 International Business Machines Corporation Asymmetric field effect transistors (FETs)
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US7442618B2 (en) * 2005-07-16 2008-10-28 Chartered Semiconductor Manufacturing, Ltd Method to engineer etch profiles in Si substrate for advanced semiconductor devices
JP5055779B2 (ja) * 2006-02-09 2012-10-24 ソニー株式会社 半導体装置の製造方法
JP5130648B2 (ja) * 2006-04-27 2013-01-30 ソニー株式会社 半導体装置の製造方法および半導体装置

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102569645A (zh) * 2010-12-17 2012-07-11 中芯国际集成电路制造(上海)有限公司 相变存储器及其形成方法
US10553680B2 (en) 2010-12-21 2020-02-04 Intel Corporation Selective germanium P-contact metalization through trench
US10304927B2 (en) 2010-12-21 2019-05-28 Intel Corporation Selective germanium p-contact metalization through trench
US10297670B2 (en) 2010-12-21 2019-05-21 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US10090383B2 (en) 2010-12-21 2018-10-02 Intel Corporation Column IV transistors for PMOS integration
US9722023B2 (en) 2010-12-21 2017-08-01 Intel Corporation Selective germanium P-contact metalization through trench
US11387320B2 (en) 2010-12-21 2022-07-12 Intel Corporation Transistors with high concentration of germanium
US10879353B2 (en) 2010-12-21 2020-12-29 Intel Corporation Selective germanium P-contact metalization through trench
US11508813B2 (en) 2010-12-21 2022-11-22 Daedalus Prime Llc Column IV transistors for PMOS integration
US10811496B2 (en) 2010-12-21 2020-10-20 Intel Corporation Transistor devices having source/drain structure configured with high germanium content portion
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US9349810B2 (en) 2010-12-21 2016-05-24 Intel Corporation Selective germanium P-contact metalization through trench
US11251281B2 (en) 2010-12-21 2022-02-15 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
CN102856202B (zh) * 2011-06-29 2016-12-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法,pmos晶体管及其形成方法
CN102856202A (zh) * 2011-06-29 2013-01-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法,pmos晶体管及其形成方法
CN102856383A (zh) * 2011-07-01 2013-01-02 三星电子株式会社 半导体器件及其制造方法
CN102856383B (zh) * 2011-07-01 2017-06-09 三星电子株式会社 半导体器件及其制造方法
CN102931058A (zh) * 2011-08-08 2013-02-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法,pmos晶体管的形成方法
CN102931058B (zh) * 2011-08-08 2015-06-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法,pmos晶体管的形成方法
CN102931083A (zh) * 2011-08-10 2013-02-13 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN102931083B (zh) * 2011-08-10 2015-07-29 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
CN102938377B (zh) * 2011-08-15 2015-06-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法,pmos晶体管及其形成方法
CN102938377A (zh) * 2011-08-15 2013-02-20 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法,pmos晶体管及其形成方法
US11476344B2 (en) 2011-09-30 2022-10-18 Daedalus Prime Llc Contact resistance reduction employing germanium overlayer pre-contact metalization
CN103377932B (zh) * 2012-04-23 2015-09-09 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制造方法
CN103377932A (zh) * 2012-04-23 2013-10-30 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制造方法
CN103632975A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制作方法
CN104064464A (zh) * 2013-03-21 2014-09-24 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN104103686B (zh) * 2013-04-03 2017-03-01 旺宏电子股份有限公司 半导体结构、mosfet存储单元阵列及该阵列的形成方法
CN104733513A (zh) * 2013-12-19 2015-06-24 台湾积体电路制造股份有限公司 用于沟道应变的锗分布
CN103887341A (zh) * 2014-03-20 2014-06-25 上海华力微电子有限公司 一种场效应晶体管的制备方法
CN109950314A (zh) * 2017-12-21 2019-06-28 中芯国际集成电路制造(上海)有限公司 半导体结构及其制造方法
CN110854182A (zh) * 2019-11-13 2020-02-28 上海华力集成电路制造有限公司 Pmos半导体器件及其制造方法
CN113113474A (zh) * 2020-04-27 2021-07-13 台湾积体电路制造股份有限公司 半导体器件、集成芯片及其制造方法

Also Published As

Publication number Publication date
CN100524826C (zh) 2009-08-05
JP5220348B2 (ja) 2013-06-26
US20100059764A1 (en) 2010-03-11
US7960798B2 (en) 2011-06-14
TW200807715A (en) 2008-02-01
US20080006818A1 (en) 2008-01-10
US7618866B2 (en) 2009-11-17
JP2007329477A (ja) 2007-12-20

Similar Documents

Publication Publication Date Title
CN100524826C (zh) 具有应力器件沟道的半导体结构及其形成方法
US10032773B2 (en) FinFET with reduced capacitance
US8299453B2 (en) CMOS transistors with silicon germanium channel and dual embedded stressors
US9178045B2 (en) Integrated circuit devices including FinFETS and methods of forming the same
US11139215B2 (en) Hybrid gate stack integration for stacked vertical transport field-effect transistors
US8975697B2 (en) Integrated circuit having MOSFET with embedded stressor and method to fabricate same
EP1763073B1 (en) Strained Semiconductor Device
US10079279B2 (en) FET with local isolation layers on S/D trench sidewalls
CN102598229B (zh) 提高驱动电流的双层nFET埋设应激物元件和集成
WO2011037743A2 (en) Method and structure for forming high-performance fets with embedded stressors
US9490332B1 (en) Atomic layer doping and spacer engineering for reduced external resistance in finFETs
US7833852B2 (en) Source/drain stressors formed using in-situ epitaxial growth
US7790542B2 (en) CMOS devices having reduced threshold voltage variations and methods of manufacture thereof
US7482209B2 (en) Hybrid orientation substrate and method for fabrication of thereof
US9514996B2 (en) Process for fabricating SOI transistors for an increased integration density
US11688741B2 (en) Gate-all-around devices with isolated and non-isolated epitaxy regions for strain engineering
US10658481B1 (en) Self-aligned gate cut in direct stacked vertical transport field effect transistor (VTFET)

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20210618

Address after: ottawa

Patentee after: Elpis technologies

Address before: New York, USA

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090805