WO2015194380A1 - 基板処理システム及び基板処理方法 - Google Patents

基板処理システム及び基板処理方法 Download PDF

Info

Publication number
WO2015194380A1
WO2015194380A1 PCT/JP2015/066114 JP2015066114W WO2015194380A1 WO 2015194380 A1 WO2015194380 A1 WO 2015194380A1 JP 2015066114 W JP2015066114 W JP 2015066114W WO 2015194380 A1 WO2015194380 A1 WO 2015194380A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
silicon
etching
containing film
carbon
Prior art date
Application number
PCT/JP2015/066114
Other languages
English (en)
French (fr)
Inventor
柿本 明修
欣延 早川
覚 水永
康弘 濱田
岡田 充弘
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to KR1020167031938A priority Critical patent/KR102434563B1/ko
Priority to KR1020227028756A priority patent/KR102629835B1/ko
Priority to KR1020207011115A priority patent/KR102436611B1/ko
Priority to US15/310,840 priority patent/US10460950B2/en
Publication of WO2015194380A1 publication Critical patent/WO2015194380A1/ja
Priority to US16/596,056 priority patent/US20200035497A1/en
Priority to US16/595,995 priority patent/US20200035496A1/en
Priority to US17/902,919 priority patent/US20220415661A1/en
Priority to US17/902,918 priority patent/US20220415660A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane

Definitions

  • the present invention relates to a substrate processing system and a substrate processing method.
  • the present invention aims to perform a good etching process while suppressing the bow shape.
  • An etching apparatus that supplies a gas containing fluorocarbon, generates plasma from the gas, and etches the silicon-containing film on the substrate by plasma through a mask on the silicon-containing film is different from the etching apparatus.
  • a second etching step of further etching the silicon-containing film on which the carbon-containing film is formed with plasma, and the film-forming apparatus includes: A film forming step for forming a carbon-containing film without generating plasma on the silicon-containing film after the etching process of 1 is executed.
  • the substrate processing system is provided.
  • a good etching process can be performed while suppressing the bow shape.
  • the figure which shows the substrate processing method concerning one Embodiment. The figure which shows an example of the formed carbon film concerning one Embodiment.
  • FIG. 1 shows a configuration example of a substrate processing system 1 according to an embodiment.
  • the substrate processing system 1 includes a process chamber PC (hereinafter simply referred to as “PC”) 1 for processing a substrate in-situ and a process chamber PC2 for processing a substrate ex-situ.
  • PC1 and PC2 are separate and different chambers.
  • PC1 and PC2 are connected via a transfer chamber TC (hereinafter referred to as “TC”) and a transport mechanism 2.
  • TC transfer chamber
  • the PC 1 and the TC, and the TC and the transport mechanism 2 are connected to each other through a gate valve G so as to be opened and closed. Since the insides of PC1 and TC are in a reduced pressure state, by loading and unloading the substrate by opening and closing each gate valve G, the inside of PC1 is cut off from the atmosphere and kept at a predetermined degree of vacuum.
  • the TC is provided with a transfer device 52 that holds the substrate and carries it in and out.
  • the transport device 52 includes a rotation / extension / contraction section 53 that can rotate and extend / contract, and two blades 54 a and 54 b that hold the substrate at the tip of the rotation / extension / contraction section 53.
  • the blades 54a and 54b are attached to the rotation / extension / contraction section 53 so as to face in opposite directions.
  • the transport mechanism 2 transports the substrate between the TC and the PC 2.
  • the transport mechanism 2 may be, for example, a mechanism that can travel using a rail mounted on a tray or the like.
  • PC1 functions as an etching apparatus that generates plasma and etches the film on the substrate by the action of the plasma.
  • the PC 1 can also function as an ashing device that ashes the film on the substrate by the action of plasma.
  • PC2 is a film forming apparatus that forms a substrate without using plasma.
  • the PC 2 functions as a thermal CVD (Chemical Vapor Deposition) apparatus that forms a carbon film on a substrate by heat.
  • the PC 2 is not limited to the thermal CVD apparatus, and may be any apparatus as long as a film can be uniformly formed on the inner wall (at least the side wall) of the pattern on the substrate etched in the PC 1.
  • the substrate processing system 1 includes a control unit 40 that controls substrate etching processing, film forming processing, ashing processing, and substrate transport processing.
  • the storage unit 42 stores a control program for executing processes such as an etching process, a film forming process, an ashing process, and a transfer process, and a process recipe in which various processing conditions are set.
  • the storage unit 42 may be a hard disk or a portable storage medium such as a CDROM (Compact Disc Read Only Memory), a DVD (Digital Versatile Disk), or a flash memory.
  • the structure which a process recipe is suitably transmitted via a dedicated line from another apparatus may be sufficient, for example.
  • the control unit 40 executes processes such as an etching process, a film forming process, an ashing process, and a transfer process in accordance with a process recipe stored in the storage unit 42 according to an instruction from the user via the user interface 41, for example.
  • FIG. 2 shows a longitudinal section of a substrate processing system 1 (including PC1 and PC2) according to an embodiment.
  • FIG. 2 is a configuration example of the PC 1 and the PC 2 and is not limited to these configurations.
  • the PC 1 is a configuration example of a capacitively coupled plasma (CCP) apparatus, but is applicable not only to such an apparatus but also to other substrate processing apparatuses.
  • CCP capacitively coupled plasma
  • ICP inductively coupled plasma
  • CVD Chemical Vapor Deposition
  • HWP Helicon Wave Plasma
  • ECR cyclotron resonance plasma
  • the PC 1 has a processing container such as aluminum whose surface is anodized, and a mounting table 12 for supporting the substrate W is provided therein.
  • a high frequency power source 14 is connected to the mounting table 12, and high frequency power for plasma generation at a predetermined frequency (for example, 60 MHz) is supplied from the high frequency power source 14.
  • the shower head 16 is provided on the ceiling surface of the PC 1.
  • the gas is supplied in a shower form from a plurality of gas holes 18 formed in the lower part of the shower head 16.
  • a gas containing fluorocarbon is supplied, and the silicon-containing film on the substrate is etched by the generated plasma.
  • the etching gas may be a single fluorocarbon (CF) gas or a mixed gas containing a fluorocarbon-based gas.
  • the etching gas may contain hexafluoro 1,3 butadiene C 4 F 6 gas as a gas containing fluorocarbon.
  • the substrate W is transferred to the PC 2 using the TC transfer device 52 and the transfer mechanism 2.
  • the PC 2 has a cylindrical outer wall 22 with a ceiling and an inner wall 24 provided inside the outer wall 22.
  • the outer wall 22 and the inner wall 24 are made of, for example, quartz.
  • a plurality of substrates W are accommodated in the processing chamber 30 inside the inner wall 24.
  • the PC 2 performs a film forming process on the plurality of substrates W at once.
  • the outer wall 22 and the inner wall 24 are separated from each other with an annular space 26 therebetween, and are joined to the base material 28 at their lower ends.
  • a gas containing carbon (C) is supplied as a film forming gas.
  • the supplied carbon-containing gas flows from the lower side to the upper side of the processing chamber 30 and is sucked into the annular space 26 and exhausted to the outside.
  • the film forming gas may be a single gas containing carbon or a mixed gas having a gas containing carbon.
  • the film forming gas may contain ethylene (C 2 H 4 ) gas or other carbon (C x H y ) gas as a gas containing carbon.
  • the film forming gas may contain a chlorine (Cl 2 ) gas as a thermal decomposition temperature lowering gas. Further, the film forming gas may contain an inert gas such as nitrogen (N 2 ) gas.
  • the PC 2 decomposes the film forming gas with heat to form a carbon-containing film on the silicon-containing film on the substrate.
  • the PC 2 may be a single wafer type film forming apparatus.
  • the substrate processing system 1 first, the substrate W is transported to the PC 1 and etched by the PC 1. Next, the substrate W is transferred to the PC 2 and a carbon film is formed on the PC 2. Next, the substrate is transferred to the PC 1 and etched again by the PC 1. Finally, the carbon film is removed by PC1.
  • a silicon oxide film (SiO 2 ) 126, a silicon nitride film (SiN) 127, and a polysilicon mask 128 are formed on the silicon substrate 125.
  • a silicon oxide film (SiO 2 ) is taken as an example of a silicon-containing film that is a film to be etched.
  • the silicon-containing film to be etched is not limited to this, and may be a silicon-containing oxide film (SiO x ), a silicon nitride film (SiN), a silicon-containing oxide film, and a silicon nitride film. Or a laminated film.
  • the mask material may be an amorphous carbon mask or a metal-containing mask.
  • a desired pattern of holes or lines is formed.
  • the silicon oxide film 126 is etched into a desired pattern such as a hole, the amount of plasma radicals reaching the bottom of the hole decreases as the bottom of the etched hole or the like becomes deeper, and not only the bottom of the contact hole.
  • the sides are etched.
  • FIG. 3B a bowing shape in which the bowing CD value below the hole is larger than the top CD value at the top of the hole is generated.
  • the etching pattern is bowed, it is difficult to obtain good device characteristics as compared with the case where the etching pattern shown in FIG. 3A is vertical.
  • the substrate processing system 1 according to the present embodiment realizes a substrate processing method capable of performing a good etching process while suppressing the bow shape.
  • a substrate processing method executed in the substrate processing system 1 according to the present embodiment will be described with reference to FIG.
  • FIG. 4 shows a substrate processing method according to this embodiment.
  • FIG. 4A shows a state before etching of the silicon oxide film 126 on the silicon substrate 125.
  • a silicon oxide film 126, a silicon nitride film 127, and a polysilicon mask 128 are formed on the silicon substrate 125.
  • the polysilicon mask 128 may be an amorphous silicon mask or a metal-containing mask. Further, the silicon nitride film 127 may be omitted.
  • the silicon substrate 125 is carried into the PC 1.
  • the PC 1 etches the silicon nitride film 127 and the silicon oxide film 126.
  • the PC 1 etches the silicon oxide film 126 halfway (first etching step: half-etching).
  • “etching halfway” is not limited to the case where the silicon oxide film 126 is etched in half in the depth direction, but the silicon oxide film 126 is etched before the bowing shape occurs (while no bowing occurs). May be.
  • a mixed gas of 2.66 Pa in pressure, 60 MHz in the frequency of the high frequency power HF, 1200 W in power, and C 4 F 6 / C 4 F 8 / Ar / O 2 is used. .
  • the silicon substrate 125 is unloaded from the PC 1 and loaded into the PC 2.
  • the PC 2 forms a carbon film 130 on the etched silicon oxide film 126.
  • the carbon film 130 is uniformly formed on the inner wall of the pattern formed on the silicon oxide film 126 (film formation process).
  • the film formed on the silicon oxide film 126 is not limited to the carbon film 130 but may be a carbon-containing film.
  • a mixed gas having a pressure of 997 Pa, a temperature of 400 ° C., and a gas type of C 2 H 4 / Cl 2 can be given.
  • FIG. 5 shows an example of a carbon film formed as a PC 2 by using the thermal CVD apparatus according to the present embodiment.
  • the thickness of the carbon film 130 is 4.7 nm when the deposition time is 50 minutes, and in the graph [B] of FIG.
  • the thickness of the film 130 is 10.3 nm.
  • the sidewalls and bottom walls of the etching pattern of the silicon oxide film 126 have a uniform thickness. It can be seen that the carbon film 130 is formed.
  • the carbon film 130 according to the present embodiment only needs to have a thickness of about 1 to 2 nm.
  • the time will be about 30 minutes.
  • the film forming step [c] in FIG. 4 may be performed in-situ on the PC 1.
  • the carbon film 130 having a thickness of about 1 to 2 nm it is important that the carbon film 130 is uniformly formed.
  • the carbon film 130 is preferably formed in a non-plasma (no plasma) environment in the film formation step [c] in FIG.
  • the silicon substrate 125 is unloaded from the PC 2 and loaded into the PC 1.
  • the PC 1 further etches the silicon oxide film 126 (second etching step: full etching).
  • the carbon film 130 functions as a protective film on the side wall of the silicon oxide film 126 and suppresses the formation of a bow shape in the etching pattern.
  • the etching process conditions in FIG. 4 may be the same as the etching process conditions in [b] in FIG.
  • the etching process conditions of [d] in FIG. 4 may be different from the etching process conditions of [b] in FIG. 4 as long as the gas containing fluorocarbon is supplied into the PC 1.
  • the PC 1 may complete the etching of the silicon oxide film 126 by performing etching until the underlying silicon substrate 125 is exposed through the silicon oxide film 126.
  • PC1 and PC2 may complete the etching of the silicon oxide film 126 by repeating these steps a plurality of times, with the second etching step [d] and the film formation step [c] as a set.
  • the PC 1 performs an ashing process after the second etching process to remove the carbon film 130 (second ashing process).
  • Oxygen plasma generated from oxygen gas may be used for ashing.
  • the substrate processing method using the substrate processing system 1 according to the present embodiment has been described above. Next, an example of the effect of the substrate processing method according to the present embodiment will be described with reference to FIG.
  • FIG. 6 shows an example of the effect when the substrate processing method according to one embodiment is executed.
  • [B] in FIG. 6 shows a pattern after half-etching ([b] in FIG. 4).
  • [F] in FIG. 6 shows a pattern after full etching in the case where no carbon film is formed.
  • [E] in FIG. 6 shows a pattern after full etching ([d] in FIG. 4) when a carbon film having a thickness of 1 nm is formed.
  • [H] in FIG. 6 shows a pattern after a carbon film having a thickness of 1 nm is formed, further subjected to treatment with monosilane (SiH 4 ), and then subjected to full etching.
  • FIG. 6 shows an example where the silicon nitride film 127 is not stacked.
  • the top CD value (Top CD) was 43.8 nm
  • the Boeing CD value (Bowing CD) was 46.9 nm.
  • the top CD value was 49.7 nm and the Boeing CD value was 56.2 nm.
  • the top CD value was 48.9 nm and the Boeing CD value was 52.8 nm in the pattern after full etching when the carbon film having a thickness of 1 nm shown in FIG.
  • the top CD value is 48.7 nm and the Boeing CD The value was 51.4 nm.
  • the Boeing CD value when the treatment with SiH 4 is performed after the carbon film is formed is the Boeing CD value when the carbon film is not formed and the carbon film having a thickness of 1 nm is formed. It was found that this was further improved over the Boeing CD value. According to this, it is considered that the silicon-containing film formed on the carbon film serves as a protective film together with the carbon film to suppress the bowing shape.
  • the treatment after the carbon film is formed may be a single gas of monosilane (SiH 4 ) or a mixed gas containing monosilane and a diluent gas (N 2 gas, H 2 gas, etc.).
  • the carbon film 130 forms the silicon oxide film 126 in the subsequent etching process. It can protect and suppress the bowing shape. As a result, a vertical etching shape is formed, and good device characteristics can be obtained.
  • FIG. 7 shows a substrate processing method according to the first modification of the present embodiment.
  • FIG. 8 shows an example of the effect when the substrate processing method according to the first modification of the embodiment is executed.
  • 7 differs from the substrate processing method according to the present embodiment in FIG. 4 in that the half etching process shown in FIG. 4B and FIG. 7B is performed. 7 is the ashing process shown in [g] of FIG. 7 between the film forming process shown in [c] of FIG. 4 and the film forming process shown in [c] of FIG.
  • a polymer reaction product 131 generated by the etching adheres to the polysilicon mask 128 after the silicon oxide film 126 is half-etched. Therefore, it is preferable that after the attached reaction product 131 is removed in the ashing process shown in FIG. 7G, the carbon film forming process shown in FIG. 7C is executed.
  • oxygen plasma generated from oxygen gas may be used. .
  • the carbon film can be formed more uniformly by removing the reaction product 131 attached to the polysilicon mask 128 before the film formation.
  • FIG. 8 shows an example in which the silicon nitride film 127 is laminated.
  • “Case 1” in the leftmost diagram of FIG. 8 shows a pattern after the ashing (first ashing step) shown in [g] of FIG. 7 is performed after the half etching (200 seconds) of [b] of FIG. Indicates.
  • Chip 2 shows a pattern after ashing is performed after full etching (350 seconds) without half etching.
  • “Case 3” indicates a pattern after half etching (200 seconds) ⁇ ashing ⁇ 1 nm carbon film formation ⁇ full etching (150 seconds) ⁇ ashing.
  • “Case 4” shows a pattern after half etching (200 seconds) ⁇ ashing ⁇ 2 nm carbon film formation ⁇ full etching (150 seconds) ⁇ ashing.
  • the top CD value (Top CD) was 55.6 nm in Case 2, 52.9 nm in Case 3, and 54.2 nm in Case 4.
  • the Boeing CD value (Bowing CD) was 65.6 nm in Case 2, 58.2 nm in Case 3, and 57.5 nm in Case 4.
  • the carbon film 130 can suppress the bowing shape more reliably when formed to a thickness of 2 nm than when formed to a thickness of 1 nm.
  • the reaction product 131 attached to the polysilicon mask 128 can be removed by performing ashing after half etching.
  • a uniform carbon film can be formed on the inner wall of the etching pattern.
  • the bowing shape can be more effectively suppressed in the subsequent etching process.
  • FIG. 9 shows an example of the effect when the substrate processing method according to the second modification of the present embodiment is executed.
  • a carbon film is formed as a protective film.
  • a silicon film is formed instead of the carbon film.
  • FIG. 9 shows a result of executing the substrate processing method according to the second modification.
  • the Boeing CD value after half-etching and after full etching when the protective film is not formed and the Boeing CD value after full etching when the protective film is formed are specified.
  • the results are shown when the carbon film (C) having a thickness of “2 nm” and “3 nm” is formed and the silicon film (Si) having a thickness of “3 nm” is formed.
  • the difference between the Boeing CD value after full etching when the protective film is not formed and the Boeing CD value after full etching when the protective film is formed is specified. .
  • a mixed gas having a pressure of 133 Pa (1 Torr), a temperature of 380 ° C., and a gas type of Si 2 H 6 / N 2 can be given.
  • both the carbon film and the silicon film can suppress bowing.
  • bowing can be more effectively suppressed when the carbon film is formed as the protective film than when the silicon film is formed as the protective film.
  • a silicon film is formed as a protective film instead of the carbon film, but the present invention is not limited to this.
  • two or more laminated films of a carbon film and a silicon film may be formed as the protective film.
  • the carbon film may be formed first and the silicon film may be formed later, or the silicon film may be formed first and the carbon film may be formed later.
  • the deposition process of the laminated film of the carbon film and the silicon film can be continuously performed in the same chamber of the PC 2 shown in FIG. 1 while changing the process conditions such as the gas type.
  • the PC 2 uses a single gas of monosilane (SiH 4 ) or monosilane after the film forming step of forming a silicon film or a mixed film of a silicon film and a carbon film and before full etching. Treatment with mixed gas containing may be performed.
  • substrate processing system and the substrate processing method have been described in the above embodiment, but the substrate processing system and the substrate processing method according to the present invention are not limited to the above embodiment, and various modifications are possible within the scope of the present invention. And improvements are possible.
  • the substrate processed by the substrate processing system according to the present invention may be a wafer, a large substrate for a flat panel display, an EL element, or a substrate for a solar cell.
  • substrate processing system 2 transfer mechanism 12: mounting table 14: high frequency power supply 16: shower head 22: outer wall 24: inner wall 30: processing chamber 40: control unit 42: storage unit 52: transfer device 125: silicon substrate 126: silicon Oxide film 127: Silicon nitride film 128: Polysilicon mask 130: Carbon film 131: Reaction product PC1, PC2: Process chamber TC: Transfer chamber T: Top CD B: Boeing CD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Polarising Elements (AREA)
  • Manufacturing Of Printed Wiring (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Hardware Redundancy (AREA)
  • Preparation Of Compounds By Using Micro-Organisms (AREA)

Abstract

 フッ化炭素を含むガスを供給し、前記ガスからプラズマを生成し、基板上のシリコン含有膜を、前記シリコン含有膜上のマスクを介してプラズマによりエッチングするエッチング装置と、前記エッチング装置とは異なる装置であって、炭素を含むガスを供給し、前記エッチングされたシリコン含有膜にカーボン含有膜を成膜する成膜装置と、を有し、前記エッチング装置は、前記シリコン含有膜を途中までプラズマによりエッチングする第1のエッチング工程と、前記カーボン含有膜が形成された前記シリコン含有膜を更にプラズマによりエッチングする第2のエッチング工程と、を含む工程を実行し、前記成膜装置は、前記第1のエッチング工程後のシリコン含有膜上にプラズマを生成せずにカーボン含有膜を成膜する成膜工程を実行する、基板処理システムが提供される。

Description

基板処理システム及び基板処理方法
 本発明は、基板処理システム及び基板処理方法に関する。
 高いアスペクト比を有するコンタクトホールのプラズマエッチングでは、ホールの底部が深くなるに従い、プラズマ中のイオンがホールの底部に到達し難くなり、コンタクトホールの底部だけでなく側部がエッチングされる。その結果、ホールの上側の直径(以下、「CD値(Critical Dimension)」という。)よりもホールの下側のCD値が大きくなるボーイング形状が発生する。そこで、ホールのエッチングが完了した後、形成されたパターンの側壁等に所望の膜を成膜することで、パターンの形状を修復する技術が提案されている(例えば、特許文献1を参照)。
特開2014-17438号公報
 しかしながら、エッチングが完了してから成膜する方法では、ホールの底部が深くなるに従い、ホールの底部まで到達するプラズマ中のイオンが減ることでエッチングレートが低下する。その結果、アスペクト比が低くなり、所望の半導体デバイス特性が得られなくなる可能性がある。
 上記課題に対して、一側面では、本発明は、ボーイング形状を抑制しながら良好なエッチング処理を行うことを目的とする。
 上記課題を解決するために、一の態様によれば、
 フッ化炭素を含むガスを供給し、前記ガスからプラズマを生成し、基板上のシリコン含有膜を、前記シリコン含有膜上のマスクを介してプラズマによりエッチングするエッチング装置と、前記エッチング装置とは異なる装置であって、炭素を含むガスを供給し、前記エッチングされたシリコン含有膜にカーボン含有膜を成膜する成膜装置と、を有し、前記エッチング装置は、前記シリコン含有膜を途中までプラズマによりエッチングする第1のエッチング工程と、前記カーボン含有膜が形成された前記シリコン含有膜を更にプラズマによりエッチングする第2のエッチング工程と、を含む工程を実行し、前記成膜装置は、前記第1のエッチング工程後のシリコン含有膜上にプラズマを生成せずにカーボン含有膜を成膜する成膜工程を実行する、基板処理システムが提供される。
 一の態様によれば、ボーイング形状を抑制しながら良好なエッチング処理を行うことができる。
一実施形態にかかる基板処理システムの構成例を示す図。 一実施形態にかかるPC1及びPC2の縦断面を示す図。 ボーイング形状を説明するための図。 ボーイング形状を説明するための図。 一実施形態にかかる基板処理方法を示す図。 一実施形態にかかる成膜されたカーボン膜の一例を示す図。 一実施形態にかかる基板処理方法の効果の一例を示す図。 一実施形態の変形例1にかかる基板処理方法を示す図。 一実施形態の変形例1にかかる基板処理方法の効果の一例を示す図。 一実施形態の変形例2にかかる基板処理方法の効果の一例を示す図。
 以下、本発明を実施するための形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の構成については、同一の符号を付することにより重複した説明を省く。
 [基板処理システムの構成例]
 まず、本発明の一実施形態にかかる基板処理システム1の構成例について、図1を参照しながら説明する。図1は、一実施形態にかかる基板処理システム1の構成例を示す。基板処理システム1は、in-situで基板を処理するプロセスチャンバPC(以下、単に「PC」という。)1と、ex-situで基板を処理するプロセスチャンバPC2とを有する。PC1とPC2とは、別体の異なるチャンバである。
 PC1とPC2とは、トランスファーチャンバTC(以下、「TC」という。)及び搬送機構2を介して連結されている。PC1とTC、及びTCと搬送機構2とは、ゲートバルブGを介して開閉可能に接続されている。PC1及びTCの内部は減圧状態であるため、各ゲートバルブGの開閉により基板を搬入及び搬出することで、PC1の内部は大気から遮断され、所定の真空度に保持される。
 TCには、基板を把持して搬入及び搬出する搬送装置52が設けられている。搬送装置52は、回転及び伸縮可能な回転・伸縮部53と、回転・伸縮部53の先端に基板を保持する2つのブレード54a、54bとを有している。ブレード54a、54bは、互いに反対方向を向くように回転・伸縮部53に取り付けられている。
 搬送機構2は、TCとPC2との間で基板を搬送する。搬送機構2は、例えば、トレイ等に基板を載せてレール等を使用して走行可能な機構であってもよい。
 PC1は、プラズマを生成し、プラズマの作用により基板上の膜をエッチングするエッチング装置として機能する。PC1は、プラズマの作用により基板上の膜をアッシングするアッシング装置としても機能することができる。
 PC2は、プラズマを使用せずに基板を成膜する成膜装置である。本実施形態では、PC2は、熱により基板にカーボン膜を成膜する熱CVD(Chemical Vapor Deposition)装置として機能する。ただし、PC2は、熱CVD装置に限らず、PC1においてエッチングされた基板上のパターンの内壁(少なくとも側壁)に均一に膜を形成できればどのような装置であってもよい。
 基板処理システム1は、基板のエッチング処理、成膜処理、アッシング処理及び基板の搬送処理を制御する制御部40を有する。記憶部42には、エッチング処理、成膜処理、アッシング処理、搬送処理等の処理を実行するための制御プログラムや各種処理条件が設定された処理レシピが格納されている。記憶部42は、ハードディスクであってもよく、CDROM(Compact Disc Read Only Memory)、DVD(Digital Versatile Disk)、フラッシュメモリ等の可搬性の記憶媒体であっても良い。また、他の装置から、例えば専用回線を介して処理レシピが適宜伝送される構成であっても良い。
 制御部40は、例えば、ユーザーインターフェース41を介してユーザからの指示に応じて記憶部42に記憶された処理レシピに従いエッチング処理、成膜処理、アッシング処理、搬送処理等の処理を実行する。
 [PC1/PC2の構成例]
 (PC1:エッチング装置)
 図2を参照しながら、一実施形態にかかるPC1及びPC2の構成例について簡単に説明する。図2は、一実施形態にかかる基板処理システム1(PC1及びPC2を含む)の縦断面を示す。ただし、図2は、PC1及びPC2の一構成例であり、これらの構成に限るものではない。例えば、PC1は、容量結合型プラズマ(CCP:Capacitively Coupled Plasma)装置の構成例であるが、かかる装置だけでなく、その他の基板処理装置に適用可能である。その他の基板処理装置としては、誘導結合型プラズマ(ICP:Inductively Coupled Plasma)、ラジアルラインスロットアンテナを用いたCVD(Chemical Vapor Deposition)装置、ヘリコン波励起型プラズマ(HWP:Helicon Wave Plasma)装置、電子サイクロトロン共鳴プラズマ(ECR:Electron Cyclotron Resonance Plasma)装置等が挙げられる。
 PC1及びTCは減圧下で基板の処理及び搬送を行い、搬送機構2及びPC2は大気圧下で基板の処理及び搬送を行う。PC1は、表面を陽極酸化処理されたアルミニウム等の処理容器を有し、内部には基板Wを支持する載置台12が設けられている。載置台12には、高周波電源14が接続され、高周波電源14から所定周波数(例えば60MHz)のプラズマ生成用の高周波電力が供給される。
 PC1の天井面には、シャワーヘッド16が設けられている。ガスは、シャワーヘッド16の下部に形成された複数のガス孔18からシャワー状に供給される。本実施形態では、フッ化炭素を含むガスを供給し、生成したプラズマにより基板上のシリコン含有膜をエッチングする。
 エッチングガスは、フッ化炭素(CF)ガスの単一ガスでもよいし、フッ化炭素系ガスを含む混合ガスでもよい。エッチングガスは、フッ化炭素を含むガスとしてヘキサフルオロ1,3ブタジエンCガスを含有してもよい。
 PC1において基板W上のシリコン含有膜をエッチング後、基板WはTCの搬送装置52及び搬送機構2を用いてPC2に搬送される。
 (PC2:熱CVD装置)
 PC2は、有天井の円筒状の外壁22と、外壁22の内側に設けられた内壁24とを有している。外壁22及び内壁24は、例えば石英から形成されている。内壁24の内側の処理室30には、複数枚の基板Wが収容されている。PC2は、複数枚の基板Wに対して一括して成膜処理を施す。外壁22と内壁24とは、環状空間26を隔て、互いに離れており、各々の下端部においてベース材28に接合されている。
 本実施形態では、成膜ガスとして炭素(C)を含むガスが供給される。供給された炭素を含むガスは、処理室30の下方から上方へと流れて、環状空間26に吸引され、外部に排気される。
 成膜ガスは、炭素を含むガスの単一ガスでもよいし、炭素を含むガスを有する混合ガスでもよい。成膜ガスは、炭素を含むガスとしてエチレン(C)ガスやその他の炭素(C)ガスを含有してもよい。成膜ガスは、熱分解温度降下ガスとして塩素(Cl)ガスを含有してもよい。また、成膜ガスは、窒素(N)ガス等の不活性ガスを含有してもよい。PC2は、上記成膜ガスを熱により分解して基板上のシリコン含有膜上にカーボン含有膜を成膜する。PC2は、枚葉式成膜装置であってもよい。
 以上、PC1及びPC2の構成例について説明した。本実施形態にかかる基板処理システム1によれば、まず、基板WはPC1に搬送され、PC1にてエッチング処理される。次に、基板WはPC2に搬送され、PC2にてカーボン膜の成膜が施される。次いで、基板はPC1に搬送され、PC1にて再びエッチング処理される。最後に、PC1にてカーボン膜が除去される。
 [ボーイング形状]
 次に、図3を参照しながら、エッチングパターンに形成されるボーイング形状について説明する。図3Aに示すように、シリコン基板125上には、シリコン酸化膜(SiO)126、シリコン窒化膜(SiN)127及びポリシリコンマスク128が形成されている。
 本実施形態では、エッチング対象膜であるシリコン含有膜としてシリコン酸化膜(SiO)を例に挙げる。しかしながら、エッチング対象膜のシリコン含有膜は、これに限らず、シリコン含有酸化膜(SiO)であってもよく、シリコン窒化膜(SiN)であってもよく、シリコン含有酸化膜とシリコン窒化膜との積層膜であってもよい。マスク材料は、アモルファスカーボンマスクあるいは金属含有マスクであってもよい。
 ポリシリコンマスク128には、ホール状又はライン状の所望のパターンが形成されている。シリコン酸化膜126をホール等の所望のパターンにエッチングする場合、エッチングされたホール等の底部が深くなるに従い、プラズマのラジカルがホールの底部に到達する量が減少し、コンタクトホールの底部だけでなく側部がエッチングされる。その結果、図3Bに示すように、ホールの上部のトップCD値よりもホールの下方のボーイングCD値が大きくなるボーイング形状が発生する。エッチングパターンがボーイング形状になると、図3Aに示したエッチングパターンが垂直形状の場合と比べて良好なデバイス特性を得ることが困難になる。
 そこで、本実施形態にかかる基板処理システム1では、ボーイング形状を抑制しながら良好なエッチング処理を行うことが可能な基板処理方法を実現する。以下、本実施形態にかかる基板処理システム1にて実行される基板処理方法について、図4を参照しながら説明する。
 [基板処理方法]
 図4は、本実施形態にかかる基板処理方法を示す。図4の[a]は、シリコン基板125上のシリコン酸化膜126のエッチング前の状態を示す。シリコン基板125上に、シリコン酸化膜126、シリコン窒化膜127及びポリシリコンマスク128が形成されている。なお、ポリシリコンマスク128は、アモルファスシリコンマスク、金属含有マスクであってもよい。また、シリコン窒化膜127はなくてもよい。
 (ハーフエッチング)
 本実施形態にかかる基板処理方法では、まず、シリコン基板125がPC1に搬入される。PC1は、シリコン窒化膜127及びシリコン酸化膜126をエッチングする。その際、図4の[b]に示すように、PC1は、シリコン酸化膜126を途中までエッチングする(第1のエッチング工程:ハーフエッチング)。このとき「途中までエッチングする」とは、シリコン酸化膜126を深さ方向に概ね半分エッチングする場合に限らず、ボーイング形状が発生する前まで(ボーイングが発生しない間)、シリコン酸化膜126をエッチングしてもよい。
 エッチングのプロセス条件の一例としては、圧力が2.66Pa、高周波電力HFの周波数が60MHz、パワーが1200W、ガス種がC/C/Ar/Oの混合ガスが挙げられる。
 (カーボン膜形成)
 次に、シリコン基板125はPC1から搬出され、PC2に搬入される。図4の[c]に示すように、PC2は、エッチングされたシリコン酸化膜126上にカーボン膜130を成膜する。これにより、シリコン酸化膜126に形成されたパターンの内壁に均一にカーボン膜130が成膜される(成膜工程)。なお、シリコン酸化膜126上に成膜される膜は、カーボン膜130に限らず、カーボン含有膜であってもよい。
 カーボン膜の成膜のプロセス条件の一例としては、圧力が997Pa、温度が400℃、ガス種がC/Clの混合ガスが挙げられる。
 図5は、PC2として本実施形態にかかる熱CVD装置を用いて成膜されたカーボン膜の一例を示す。図5のグラフの[A]では成膜時間が50分のときにカーボン膜130の厚さが4.7nmになり、図5のグラフの[B]では成膜時間が90分のときにカーボン膜130の厚さが10.3nmになっている。図5のシリコン酸化膜126上に成膜されるカーボン膜130の[A]及び[B]に示すいずれの場合にも、シリコン酸化膜126のエッチングパターンの側壁及び底壁に均一な厚さのカーボン膜130が形成されていることがわかる。
 また、図5のグラフの成膜時間とカーボン膜の厚さとの関係によれば、本実施形態にかかるカーボン膜130は、約1~2nmの厚さを有していればよいため、成膜時間は約30分となる。
 なお、図4の[c]の成膜工程をPC1にてin-situで行うことも考えられる。しかし、約1~2nmの厚さのカーボン膜130を形成する場合、カーボン膜130が均一に成膜されることが重要になる。
 これに対して、PC1にてプラズマによりカーボン膜130を成膜すると、エッチングパターンの底部側にイオンが進入し難い等の理由により、エッチングパターンの上部側よりも底部側でカーボン膜が薄くなる。この結果、シリコン酸化膜126に均一なカーボン膜130を成膜することは難しい。よって、図4の[c]の成膜工程は、ノンプラズマ(プラズマを使用しない)の環境でカーボン膜130を成膜することが好ましい。
 (フルエッチング)
 図4に戻り、成膜後、シリコン基板125がPC2から搬出され、PC1に搬入される。図4の[d]に示すように、PC1は、シリコン酸化膜126を更にエッチングする(第2のエッチング工程:フルエッチング)。フルエッチングでは、カーボン膜130がシリコン酸化膜126の側壁の保護膜として機能し、エッチングパターンにボーイング形状が生じることを抑制する。
 図4の[d]のエッチングのプロセス条件は、図4の[b]のエッチングのプロセス条件と同じであってもよい。図4の[d]のエッチングのプロセス条件は、フッ化炭素を含むガスをPC1内に供給する条件であれば、図4の[b]のエッチングのプロセス条件と異なってもよい。
 第2のエッチング工程では、PC1は、シリコン酸化膜126を貫通して下地層のシリコン基板125が露出するまでエッチングを行い、シリコン酸化膜126のエッチングを完了してもよい。PC1及びPC2は、第2のエッチング工程[d]と成膜工程[c]とを一組としてこれらの工程を複数回繰り返すことにより、シリコン酸化膜126のエッチングを完了してもよい。
 (アッシング)
 次に、図4の[e]に示すように、PC1は、第2のエッチング工程後にアッシング処理を行い、カーボン膜130を除去する(第2のアッシング工程)。アッシングには、酸素ガスから生成される酸素プラズマが用いられてもよい。
 以上、本実施形態にかかる基板処理システム1を用いた基板処理方法について説明した。次に、本実施形態にかかる基板処理方法の効果の一例について、図6を参照して説明する。
 [効果の例]
 図6には、一実施形態にかかる基板処理方法を実行したときの効果の一例を示す。図6の[b]は、ハーフエッチング後(図4の[b])のパターンを示す。図6の[f]は、カーボン膜を成膜していない場合のフルエッチング後のパターンを示す。図6の[e]は、1nmの厚さのカーボン膜を成膜した場合のフルエッチング後(図4の[d])のパターンを示す。図6の[h]は、1nmの厚さのカーボン膜を成膜し、さらにモノシラン(SiH)によるトリートメントを行った後にフルエッチングした後のパターンを示す。なお、図6は、シリコン窒化膜127が積層されていない場合の例を示す。
 これによれば、図6の[b]のハーフエッチング後のパターンでは、トップCD値(Top CD)が43.8nm、ボーイングCD値(Bowing CD)が46.9nmであった。
 一方、図6の[f]のカーボン膜を成膜していない場合のフルエッチング後のパターンでは、トップCD値が49.7nm、ボーイングCD値が56.2nmであった。他方、図6の[e]の1nmの厚さのカーボン膜を成膜した場合のフルエッチング後のパターンでは、トップCD値が48.9nm、ボーイングCD値が52.8nmであった。
 更に、図6の[h]の1nmの厚さのカーボン膜を成膜し、モノシラン(SiH)によるトリートメントを行った場合のフルエッチング後のパターンでは、トップCD値が48.7nm、ボーイングCD値が51.4nmであった。
 以上の結果から、カーボン膜を成膜した場合のボーイングCD値は、カーボン膜を成膜していない場合のボーイングCD値よりも改善されることがわかった。つまり、エッチングの途中でカーボン膜を成膜することでカーボン膜が保護膜となってエッチング時に形成されるボーイング形状を抑制できることがわかった。
 更に、カーボン膜の成膜後にSiHによるトリートメントを行った場合のボーイングCD値は、カーボン膜を成膜していない場合のボーイングCD値、及び1nmの厚さのカーボン膜を成膜したときのボーイングCD値よりも更に改善されることがわかった。これによれば、カーボン膜上に形成されたシリコン含有膜がカーボン膜とともに保護膜となってボーイング形状を抑制したと考えられる。
 なお、カーボン膜の成膜後のトリートメントは、モノシラン(SiH)の単一ガスでもよいし、モノシラン及び希釈ガス(NガスやHガス等)を含む混合ガスであってもよい。
 以上に説明したように、本実施形態にかかる基板処理方法によれば、エッチング工程の途中にカーボン膜の成膜工程を挿入することで、その後のエッチング工程においてカーボン膜130がシリコン酸化膜126を保護し、ボーイング形状を抑制することができる。その結果、垂直なエッチング形状が形成され、良好なデバイス特性を得ることができる。
 [変形例1]
 次に、本実施形態の変形例1にかかる基板処理方法について、図7及び図8を参照しながら説明する。図7は、本実施形態の変形例1にかかる基板処理方法を示す。図8には、一実施形態の変形例1にかかる基板処理方法を実行したときの効果の一例を示す。
 図7の変形例1にかかる基板処理方法が、図4の本実施形態にかかる基板処理方法と異なる点は、図4の[b]及び図7の[b]に示すハーフエッチング工程と、図4の[c]及び図7の[c]に示す成膜工程との間に、図7の[g]に示すアッシング工程がある点である。
 図7の[b]に示すように、シリコン酸化膜126をハーフエッチングした後のポリシリコンマスク128には、エッチングにより生成されたポリマーの反応生成物131が付着している。よって、図7の[g]に示すアッシング工程にて、付着した反応生成物131を除去した後、図7の[c]に示すカーボン膜の成膜工程が実行されることが好ましい。図7の[g]のアッシング工程(第1のアッシング工程)及び図7の[e]のアッシング工程(第2のアッシング工程)には、酸素ガスから生成される酸素プラズマが用いられてもよい。
 これによれば、成膜前にポリシリコンマスク128に付着した反応生成物131を除去することで、カーボン膜をより均一に成膜することができる。
 [効果の例]
 本実施形態の変形例1にかかる基板処理方法の効果及びカーボン膜の厚さによる効果の一例について図8を参照して説明する。なお、図8は、シリコン窒化膜127が積層されている場合の例を示す。
 図8の最左図の「ケース1」は、図7の[b]のハーフエッチング(200秒)後に図7の[g]に示すアッシング(第1のアッシング工程)が行われた後のパターンを示す。
 「ケース2」は、ハーフエッチングを行うことなくフルエッチング(350秒)した後にアッシングが行われた後のパターンを示す。
 「ケース3」は、ハーフエッチング(200秒)→アッシング→1nmのカーボン膜成膜→フルエッチング(150秒)→アッシング後のパターンを示す。
 「ケース4」は、ハーフエッチング(200秒)→アッシング→2nmのカーボン膜成膜→フルエッチング(150秒)→アッシング後のパターンを示す。
 これによれば、トップCD値(Top CD)は、ケース2では55.6nm、ケース3では52.9nm、ケース4では54.2nmであった。これに対して、ボーイングCD値(Bowing CD)は、ケース2では65.6nm、ケース3では58.2nm、ケース4では57.5nmであった。
 これにより、1nm以上の厚さのカーボン膜130を成膜した場合には、カーボン膜を成膜しない場合と比較してボーイング形状を抑制することができることがわかった。
 また、カーボン膜130は、2nmの厚さに成膜した場合、1nmの厚さに成膜した場合よりもボーイング形状をより確実に抑制することができることがわかった。
 以上に説明したように、本実施形態の変形例1にかかる基板処理方法によれば、ハーフエッチング後にアッシングを行うことで、ポリシリコンマスク128に付着した反応生成物131を除去できる。これにより、アッシング後の成膜工程において、エッチングパターンの内壁により均一なカーボン膜を成膜することができる。この結果、その後のエッチング工程においてより効果的にボーイング形状を抑制することができる。
 [変形例2]
 次に、本実施形態の変形例2にかかる基板処理方法について、図9を参照しながら説明する。図9は、本実施形態の変形例2にかかる基板処理方法を実行したときの効果の一例を示す。上記実施形態及びその変形例1にかかる基板処理方法は、保護膜としてカーボン膜を成膜したが、変形例2にかかる基板処理方法は、カーボン膜の代わりにシリコン膜を成膜する。
 具体的には、図7の[b]に示すハーフエッチング工程、図7の[g]に示すアッシング工程が順に実行された後、図7の[c]に示すカーボン膜の成膜工程の代わりにシリコン膜の成膜工程が実行される。この工程により図7の[c]に示すカーボン膜130に代えてシリコン膜の保護膜が形成される。その後、図7の[d]に示すフルエッチング工程が実行される。
 変形例2にかかる基板処理方法を実行した結果を図9に示す。図9の表の最終行の一行前には、保護膜を形成しない場合のハーフエッチング後及びフルエッチング後のボーイングCD値と、保護膜を形成した場合のフルエッチング後のボーイングCD値が明記されている。保護膜を形成した場合として、「2nm」、「3nm」の厚さのカーボン膜(C)を形成した場合及び「3nm」の厚さのシリコン膜(Si)を形成した場合の結果が示されている。また、図9の表の最終行には、保護膜を形成しない場合のフルエッチング後のボーイングCD値と、保護膜を形成した場合のフルエッチング後のボーイングCD値との差分が明記されている。
 なお、シリコン膜の成膜のプロセス条件の一例としては、圧力が133Pa(1Torr)、温度が380℃、ガス種がSi/Nの混合ガスが挙げられる。
 これによれば、ハーフエッチング後にカーボン膜又はシリコン膜を保護膜として形成し、その後にフルエッチングした場合には、保護膜を形成せずにフルエッチングした場合と比較してボーイングを抑制できることがわかる。
 また、図9の結果では、ハーフエッチング後に「3nm」の厚さのカーボン膜を保護膜として形成した場合、ボーイング形状はほとんど発生しなかった。また、図9の結果では、「2nm」の厚さのカーボン膜を保護膜として形成した場合と、「3nm」の厚さのシリコン膜を保護膜として形成した場合とのボーイングの抑制効果が同等であった。
 以上から、カーボン膜及びシリコン膜のいずれもボーイングを抑制できることがわかる。ただし、スループットを考慮すると、カーボン膜を保護膜として形成するとシリコン膜を保護膜として形成するよりも効果的にボーイングを抑制できることがわかる。
 なお、変形例2にかかる基板処理方法では、カーボン膜の代わりにシリコン膜を一層、保護膜として成膜したが、これに限らない。例えば、保護膜としてカーボン膜とシリコン膜との積層膜を2層以上成膜してもよい。この場合、カーボン膜を先に成膜し、シリコン膜を後に成膜してもよいし、シリコン膜を先に成膜し、カーボン膜を後に成膜してもよい。また、カーボン膜とシリコン膜との積層膜の成膜処理は、図1に示すPC2の同一チャンバ内においてガス種等のプロセス条件を変えて連続して行うことができる。
 なお、変形例2においても、PC2は、シリコン膜又はシリコン膜とカーボン膜との混合膜を成膜する成膜工程後であってフルエッチング前にモノシラン(SiH)の単一ガス又はモノシランを含む混合ガスによるトリートメントを行ってもよい。
 以上、基板処理システム及び基板処理方法を上記実施形態により説明したが、本発明にかかる基板処理システム及び基板処理方法は上記実施形態に限定されるものではなく、本発明の範囲内で種々の変形及び改良が可能である。
 また、本発明にかかる基板処理システムにより処理される基板は、ウェハ、フラットパネルディスプレイ(Flat Panel Display)用の大型基板、EL素子又は太陽電池用の基板であってもよい。
 本国際出願は、2014年6月16日に出願された日本国特許出願2014-123164号に基づく優先権及び2014年10月2日に出願された日本国特許出願2014-203619号に基づく優先権を主張するものであり、その全内容を本国際出願に援用する。
 1:基板処理システム
 2:搬送機構
 12:載置台
 14:高周波電源
 16:シャワーヘッド
 22:外壁
 24:内壁
 30:処理室
 40:制御部
 42:記憶部
 52:搬送装置
 125:シリコン基板
 126:シリコン酸化膜
 127:シリコン窒化膜
 128:ポリシリコンマスク
 130:カーボン膜
 131:反応生成物
 PC1,PC2:プロセスチャンバ
 TC:トランスファーチャンバ
 T:トップCD(Top CD)
 B:ボーイングCD(Bowing CD)

Claims (11)

  1.  フッ化炭素を含むガスを供給し、前記ガスからプラズマを生成し、基板上のシリコン含有膜を、前記シリコン含有膜上のマスクを介してプラズマによりエッチングするエッチング装置と、
     前記エッチング装置とは異なる装置であって、炭素を含むガスを供給し、前記エッチングされたシリコン含有膜にカーボン含有膜を成膜する成膜装置と、を有し、
     前記エッチング装置は、
     前記シリコン含有膜を途中までプラズマによりエッチングする第1のエッチング工程と、
     前記カーボン含有膜が形成された前記シリコン含有膜を更にプラズマによりエッチングする第2のエッチング工程と、を含む工程を実行し、
     前記成膜装置は、前記第1のエッチング工程後のシリコン含有膜上にプラズマを生成せずにカーボン含有膜を成膜する成膜工程を実行する、
     基板処理システム。
  2.  前記エッチング装置は、前記第1のエッチング工程後に、前記マスクに付着した反応生成物をアッシングする第1のアッシング工程を実行し、
     前記成膜装置は、前記成膜工程において前記アッシング後のシリコン含有膜上にカーボン含有膜を成膜する、
     請求項1に記載の基板処理システム。
  3.  前記成膜装置は、前記成膜工程において前記シリコン含有膜に形成されたパターンの少なくとも側壁にカーボン含有膜を成膜する、
     請求項1に記載の基板処理システム。
  4.  前記エッチング装置は、前記第2のエッチング工程後に前記カーボン含有膜をアッシングする第2のアッシング工程を実行する、
     請求項1に記載の基板処理システム。
  5.  フッ化炭素を含むガスを供給し、前記ガスからプラズマを生成し、基板上のシリコン含有膜を、前記シリコン含有膜上のマスクを介してプラズマによりエッチングするエッチング装置と、
     前記エッチング装置とは異なる装置であって、炭素又はシリコンを含むガスを供給し、前記エッチングされたシリコン含有膜にカーボン含有膜又はシリコン膜の少なくともいずれかの膜を成膜する成膜装置と、を有し、
     前記エッチング装置は、
     前記シリコン含有膜を途中までプラズマによりエッチングする第1のエッチング工程と、
     前記カーボン含有膜又はシリコン膜の少なくともいずれかの膜が形成された前記シリコン含有膜を更にプラズマによりエッチングする第2のエッチング工程と、を含む工程を実行し、
     前記成膜装置は、前記第1のエッチング工程後のシリコン含有膜上にプラズマを生成せずにカーボン含有膜又はシリコン膜の少なくともいずれかの膜を成膜する成膜工程を実行する、
     基板処理システム。
  6.  前記エッチング装置は、前記第1のエッチング工程後に、前記マスクに付着した反応生成物をアッシングする第1のアッシング工程を実行し、
     前記成膜装置は、前記成膜工程において前記アッシング後のシリコン含有膜上にカーボン含有膜又はシリコン膜の少なくともいずれかの膜を成膜する、
     請求項5に記載の基板処理システム。
  7.  前記成膜装置は、前記成膜工程において前記シリコン含有膜に形成されたパターンの少なくとも側壁にカーボン含有膜又はシリコン膜の少なくともいずれかの膜を成膜する、
     請求項5に記載の基板処理システム。
  8.  前記エッチング装置は、前記第2のエッチング工程において前記シリコン含有膜を貫通するまでエッチングする、
     請求項1に記載の基板処理システム。
  9.  前記成膜装置は、前記成膜工程後であって前記第2のエッチング工程の前にモノシラン(SiH)の単一ガス又はモノシランを含む混合ガスによるトリートメントを行う、
     請求項1に記載の基板処理システム。
  10.  フッ化炭素を含むガスを供給し、前記ガスからプラズマを生成し、基板上のシリコン含有膜を、前記シリコン含有膜上のマスクを介してプラズマによりエッチングするエッチング装置と、前記エッチング装置とは異なる装置であって、炭素を含むガスを供給し、前記エッチングされたシリコン含有膜にカーボン含有膜を成膜する成膜装置とを使用して基板を処理する基板処理方法であって、
     前記エッチング装置により、前記シリコン含有膜を途中までプラズマによりエッチングする第1のエッチングステップと、
     前記成膜装置により、前記第1のエッチング工程後のシリコン含有膜上にプラズマを生成せずにカーボン含有膜を成膜する成膜ステップと、
     前記エッチング装置により、前記カーボン含有膜が形成された前記シリコン含有膜を更にプラズマによりエッチングする第2のエッチングステップと、
     を含む基板処理方法。
  11.  フッ化炭素を含むガスを供給し、前記ガスからプラズマを生成し、基板上のシリコン含有膜を、前記シリコン含有膜上のマスクを介してプラズマによりエッチングするエッチング装置と、前記エッチング装置とは異なる装置であって、炭素又はシリコンを含むガスを供給し、前記エッチングされたシリコン含有膜にカーボン含有膜又はシリコン膜の少なくともいずれかの膜を成膜する成膜装置とを使用して基板を処理する基板処理方法であって、
     前記エッチング装置により、前記シリコン含有膜を途中までプラズマによりエッチングする第1のエッチングステップと、
     前記成膜装置により、前記第1のエッチング工程後のシリコン含有膜上にプラズマを生成せずにカーボン含有膜又はシリコン膜の少なくともいずれかの膜を成膜する成膜ステップと、
     前記エッチング装置により、前記カーボン含有膜又はシリコン膜の少なくともいずれかの膜が形成された前記シリコン含有膜を更にプラズマによりエッチングする第2のエッチングステップと、
     を含む基板処理方法。
     
PCT/JP2015/066114 2014-06-16 2015-06-03 基板処理システム及び基板処理方法 WO2015194380A1 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
KR1020167031938A KR102434563B1 (ko) 2014-06-16 2015-06-03 처리 방법
KR1020227028756A KR102629835B1 (ko) 2014-06-16 2015-06-03 기판 처리 장치
KR1020207011115A KR102436611B1 (ko) 2014-06-16 2015-06-03 처리 장치 및 기판 처리 장치
US15/310,840 US10460950B2 (en) 2014-06-16 2015-06-03 Substrate processing system and substrate processing method
US16/596,056 US20200035497A1 (en) 2014-06-16 2019-10-08 Processing apparatus
US16/595,995 US20200035496A1 (en) 2014-06-16 2019-10-08 Plasma processing apparatus and plasma processing method
US17/902,919 US20220415661A1 (en) 2014-06-16 2022-09-05 Plasma processing apparatus and plasma processing method
US17/902,918 US20220415660A1 (en) 2014-06-16 2022-09-05 Processing apparatus

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2014-123164 2014-06-16
JP2014123164 2014-06-16
JP2014203619A JP6373150B2 (ja) 2014-06-16 2014-10-02 基板処理システム及び基板処理方法
JP2014-203619 2014-10-02

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US15/310,840 A-371-Of-International US10460950B2 (en) 2014-06-16 2015-06-03 Substrate processing system and substrate processing method
US16/595,995 Continuation US20200035496A1 (en) 2014-06-16 2019-10-08 Plasma processing apparatus and plasma processing method
US16/596,056 Continuation US20200035497A1 (en) 2014-06-16 2019-10-08 Processing apparatus

Publications (1)

Publication Number Publication Date
WO2015194380A1 true WO2015194380A1 (ja) 2015-12-23

Family

ID=54935370

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/066114 WO2015194380A1 (ja) 2014-06-16 2015-06-03 基板処理システム及び基板処理方法

Country Status (5)

Country Link
US (5) US10460950B2 (ja)
JP (1) JP6373150B2 (ja)
KR (3) KR102434563B1 (ja)
TW (3) TWI745682B (ja)
WO (1) WO2015194380A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112397411A (zh) * 2019-08-13 2021-02-23 台湾积体电路制造股份有限公司 包含抽出装置的制程系统及其监测方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6514138B2 (ja) * 2016-03-10 2019-05-15 東芝メモリ株式会社 半導体装置の製造方法
JP6757624B2 (ja) 2016-08-12 2020-09-23 東京エレクトロン株式会社 被処理体を処理する方法
JP6670707B2 (ja) * 2016-08-24 2020-03-25 東京エレクトロン株式会社 基板処理方法
JP6840041B2 (ja) * 2017-06-21 2021-03-10 東京エレクトロン株式会社 エッチング方法
JP6877290B2 (ja) 2017-08-03 2021-05-26 東京エレクトロン株式会社 被処理体を処理する方法
KR102372892B1 (ko) * 2017-08-10 2022-03-10 삼성전자주식회사 집적회로 소자의 제조 방법
CN110783187B (zh) * 2018-07-25 2024-04-19 东京毅力科创株式会社 等离子体处理方法和等离子体处理装置
TWI815325B (zh) * 2018-07-27 2023-09-11 美商應用材料股份有限公司 3d nand蝕刻
JP7398915B2 (ja) 2019-10-01 2023-12-15 東京エレクトロン株式会社 基板処理方法、半導体デバイスの製造方法、及び、プラズマ処理装置
JP2022550057A (ja) * 2019-10-01 2022-11-30 ラム リサーチ コーポレーション 高アスペクト比フィーチャの製造中に劣化を防止するためのマスク封入
US20210391181A1 (en) * 2020-06-15 2021-12-16 Tokyo Electron Limited Forming a semiconductor device using a protective layer
TW202303749A (zh) * 2021-05-20 2023-01-16 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置
KR20240027026A (ko) * 2021-07-05 2024-02-29 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치
JP2023063106A (ja) 2021-10-22 2023-05-09 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム
JP2024053353A (ja) 2022-10-03 2024-04-15 東京エレクトロン株式会社 エッチング方法及びプラズマ処理システム
KR20240047924A (ko) 2022-10-04 2024-04-12 도쿄엘렉트론가부시키가이샤 플라즈마 처리 시스템, 플라즈마 처리 장치 및 에칭 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250466A (ja) * 1995-02-24 1996-09-27 Internatl Business Mach Corp <Ibm> シリコン基板に深くて垂直な構造を作製する方法
JPH10261713A (ja) * 1997-03-19 1998-09-29 Sony Corp 半導体装置の製造方法
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP2007180493A (ja) * 2005-11-30 2007-07-12 Elpida Memory Inc 半導体装置の製造方法
JP2014003085A (ja) * 2012-06-15 2014-01-09 Tokyo Electron Ltd プラズマエッチング方法及びプラズマ処理装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880019A (en) * 1997-04-17 1999-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Insitu contact descum for self-aligned contact process
JP2003086569A (ja) * 2001-09-12 2003-03-20 Tokyo Electron Ltd プラズマ処理方法
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US20040097077A1 (en) * 2002-11-15 2004-05-20 Applied Materials, Inc. Method and apparatus for etching a deep trench
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
JP3976703B2 (ja) * 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US7049702B2 (en) * 2003-08-14 2006-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Damascene structure at semiconductor substrate level
US20060264054A1 (en) 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
US7867904B2 (en) * 2006-07-19 2011-01-11 Intermolecular, Inc. Method and system for isolated and discretized process sequence integration
TW200806567A (en) * 2006-07-26 2008-02-01 Touch Micro System Tech Method of deep etching
US20090275202A1 (en) * 2006-11-22 2009-11-05 Masahiko Tanaka Silicon structure having an opening which has a high aspect ratio, method for manufacturing the same, system for manufacturing the same, and program for manufacturing the same, and method for manufacturing etching mask for the silicon structure
JP5074009B2 (ja) 2006-11-22 2012-11-14 Sppテクノロジーズ株式会社 高アスペクト比の開口を有するシリコン構造体用エッチングマスクの製造方法及びその装置並びにその製造プログラム
JP5226296B2 (ja) * 2007-12-27 2013-07-03 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US7935464B2 (en) * 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
JP5604063B2 (ja) 2008-12-26 2014-10-08 東京エレクトロン株式会社 基板処理方法及び記憶媒体
US8993449B2 (en) 2009-08-14 2015-03-31 Ulvac, Inc. Etching method
US8574447B2 (en) * 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
KR101867998B1 (ko) 2011-06-14 2018-06-15 삼성전자주식회사 패턴 형성 방법
JP5981106B2 (ja) * 2011-07-12 2016-08-31 東京エレクトロン株式会社 プラズマエッチング方法
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US20130298942A1 (en) * 2012-05-14 2013-11-14 Applied Materials, Inc. Etch remnant removal
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
JP6001940B2 (ja) 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
US20140040847A1 (en) 2012-08-01 2014-02-06 Lsi Corporation System and method for generating physical deterministic boundary interconnect features for dual patterning technologies
US11670516B2 (en) * 2018-08-24 2023-06-06 Lam Research Corporation Metal-containing passivation for high aspect ratio etch

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250466A (ja) * 1995-02-24 1996-09-27 Internatl Business Mach Corp <Ibm> シリコン基板に深くて垂直な構造を作製する方法
JPH10261713A (ja) * 1997-03-19 1998-09-29 Sony Corp 半導体装置の製造方法
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP2007180493A (ja) * 2005-11-30 2007-07-12 Elpida Memory Inc 半導体装置の製造方法
JP2014003085A (ja) * 2012-06-15 2014-01-09 Tokyo Electron Ltd プラズマエッチング方法及びプラズマ処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112397411A (zh) * 2019-08-13 2021-02-23 台湾积体电路制造股份有限公司 包含抽出装置的制程系统及其监测方法

Also Published As

Publication number Publication date
US20170125255A1 (en) 2017-05-04
US20200035496A1 (en) 2020-01-30
JP6373150B2 (ja) 2018-08-15
KR102629835B1 (ko) 2024-01-25
TW202205394A (zh) 2022-02-01
KR20200043527A (ko) 2020-04-27
TWI667697B (zh) 2019-08-01
TWI782742B (zh) 2022-11-01
KR102436611B1 (ko) 2022-08-25
KR102434563B1 (ko) 2022-08-19
TWI745682B (zh) 2021-11-11
JP2016021546A (ja) 2016-02-04
US20220415660A1 (en) 2022-12-29
TW201614718A (en) 2016-04-16
US10460950B2 (en) 2019-10-29
KR20220123473A (ko) 2022-09-06
KR20170018817A (ko) 2017-02-20
TW201933448A (zh) 2019-08-16
US20200035497A1 (en) 2020-01-30
US20220415661A1 (en) 2022-12-29

Similar Documents

Publication Publication Date Title
JP6373150B2 (ja) 基板処理システム及び基板処理方法
JP7208318B2 (ja) 処理装置
JP6656082B2 (ja) 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム
JP5823160B2 (ja) 堆積物除去方法
KR102272823B1 (ko) 에칭 방법 및 에칭 장치
WO2012018010A1 (ja) 基板処理方法および基板処理装置
JP2018207088A (ja) エッチング方法
TW202117841A (zh) 蝕刻方法及基板處理系統
JP2017059750A (ja) 被処理体を処理する方法
TWI756425B (zh) 蝕刻方法
US11557486B2 (en) Etching method, damage layer removal method, and storage medium
JP7372073B2 (ja) 基板処理方法、基板処理装置及びクリーニング装置
JP7034320B2 (ja) エッチング方法、エッチング残渣の除去方法、および記憶媒体
US20210233778A1 (en) Etching method, substrate processing apparatus, and substrate processing system
JP7414593B2 (ja) 基板処理方法及び基板処理装置
JP5179896B2 (ja) 基板処理方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15808967

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 15310840

Country of ref document: US

ENP Entry into the national phase

Ref document number: 20167031938

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 15808967

Country of ref document: EP

Kind code of ref document: A1