WO2010059441A2 - Methods of forming a masking pattern for integrated circuits - Google Patents

Methods of forming a masking pattern for integrated circuits Download PDF

Info

Publication number
WO2010059441A2
WO2010059441A2 PCT/US2009/063650 US2009063650W WO2010059441A2 WO 2010059441 A2 WO2010059441 A2 WO 2010059441A2 US 2009063650 W US2009063650 W US 2009063650W WO 2010059441 A2 WO2010059441 A2 WO 2010059441A2
Authority
WO
WIPO (PCT)
Prior art keywords
mandrels
layer
pattern
depositing
forming
Prior art date
Application number
PCT/US2009/063650
Other languages
English (en)
French (fr)
Other versions
WO2010059441A3 (en
Inventor
Anton Devilliers
Original Assignee
Micron Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology, Inc. filed Critical Micron Technology, Inc.
Priority to EP09828009.2A priority Critical patent/EP2353172A4/de
Priority to CN200980146743.7A priority patent/CN102224569B/zh
Publication of WO2010059441A2 publication Critical patent/WO2010059441A2/en
Publication of WO2010059441A3 publication Critical patent/WO2010059441A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Definitions

  • This invention relates generally to integrated circuit fabrication and. more particularly, to masking techniques.
  • DRAM dynamic random access memories
  • SRAM static random access memories
  • FE ferroelectric
  • DRAM typically comprises millions of identical circuit elements, known as memory cells.
  • a capacitor-based memory cell such as in conventional DRAM, typically consists of two electrical devices: a storage capacitor and an access field effect transistor. Each memory cell is an addressable location that can store one bit (binary digit) of data. A bit can be written to a cell through the transistor and can be read by sensing charge in the capacitor.
  • Some memory technologies employ elements that can act as both a storage device and a switch ⁇ e.g., dendritic memory employing silver-doped chalcogenide glass) and some nonvolatile memories do not require switches for each cell ⁇ e.g., magnetoresistive RAM).
  • some elements can act as both charge storage and charge sensing devices. For example, this is the case with flash memory, thus, allowing this type of memory to have one of the smallest cell sizes (4F ) of all memory technologies.
  • 4F cell sizes
  • Pitch is defined as the distance between an identical point in two neighboring features when the pattern includes repeating features, as in arrays. These features are typically defined by spaces between adjacent features, which spaces are typically filled by a material, such as an insulator. As a result, pitch can be viewed as the sum of the width of a feature and of the width of the space on one side of the feature separating that feature from a neighboring feature.
  • photolithography techniques each have a minimum pitch below which a particular photolithographic technique cannot reliably form features. Thus, the minimum pitch of a photolithographic technique is an obstacle to continued feature size reduction.
  • Pitch doubling or "pitch multiplication” is one method for extending the capabilities of photolithographic techniques beyond their minimum pitch.
  • a pitch multiplication method is illustrated in Figures 1A-1F and described in U.S. Patent No. 5,328,810, issued to Lowrey ⁇ t ah, the entire disclosure of which is incorporated herein by reference.
  • a pattern of lines 10 is photolithographically formed in a photoresist layer, which overlies a layer 20 of an expendable material, which in turn overlies a substrate 30.
  • the pattern is then transferred using an etch (for example, an anisotropic etch) to the layer 20, thereby fo ⁇ ning placeholders, or mandrels, 40.
  • an etch for example, an anisotropic etch
  • the photoresist lines 10 can be stripped and the mandrels 40 can be isotropically etched to increase the distance between neighboring mandrels 40, as shown in Figure 1 C.
  • a layer 50 of spacer material is subsequently deposited over the mandrels 40, as shown in Figure ID.
  • Spacers 60 i.e., the material extending or originally formed extending from sidewalls of another material, are then formed on the sides of the mandrels 40.
  • the spacer formation is accomplished by preferentially etching the spacer material from the horizontal surfaces 70 and 80 in a directional spacer etch, as shown in Figure IE.
  • the remaining mandrels 40 are then removed, leaving behind only the spacers 60, which together act as a mask for patterning, as shown in Figure IF.
  • the same width now includes two features and two spaces, with the spaces defined by, e.g., the spacers 60.
  • the smallest feature size possible with a photolithographic technique is effectively decreased.
  • pitch multiplication is generally useful for forming regularly spaced linear features, such as conductive interconnect lines in a memory array.
  • integrated circuits typically contain features having various shapes and sizes which can be difficult to form by conventional pitch multiplication processes.
  • the continuing reduction in the sizes of integrated circuits has provided a continuing demand for reductions in the sizes of features.
  • Figures 1A-1F are schematic, cross-sectional side views showing a sequence of masking patterns for fo ⁇ ning conductive lines, in accordance with a prior art pitch doubling method;
  • Figure 2A and 2B are schematic, cross-sectional views showing intermediate masking structures, in accordance with some embodiments;
  • Figures 3A-3K are schematic, cross-sectional views showing a process sequence for forming features in a target layer, in accordance with some embodiments
  • FIGS 4A-4H are schematic, cross-sectional views showing a process sequence for fo ⁇ ning features in a target layer, in accordance with other embodiments:
  • Figures 5A-5D are schematic, cross-sectional views showing a process sequence for forming features in a target layer, in accordance with yet other embodiments;
  • Figures 6A-6E are schematic, cross-sectional views showing a process sequence for forming features in a target layer, in accordance with yet other embodiments:
  • Figures 7A-7F are schematic, cross-sectional views showing a process sequence for fo ⁇ ning features in a target layer, in accordance with yet other embodiments:
  • Figures 8A-8E are schematic, cross-sectional views showing a process sequence for forming features in a target layer, in accordance with yet other embodiments:
  • Figures 9A-9D are schematic, cross-sectional views showing a process sequence for forming features in a target layer, using anti-spacers and spacers, in accordance with yet other embodiments;
  • Figures 10A-12B are schematic, top plan views and cross-sectional views showing a process sequence for forming three dimensional features in a target layer, in accordance with some embodiments, wherein Figures 1OA, 1 IA, and 12A are schematic, top plan views; Figure 1 OB is a cross-sectional view of Figure 1 OA, taken along the line 1 OB- 10B; Figures H B and I I C are cross-sectional views of Figure 1 IA, taken along the lines 1 IB-I IB and 1 1 C-11C, respectively; and Figure 12B is a cross-sectional view of Figure 12A, taken along the line 12B-12B;
  • Figure 12C is a schematic perspective view of a structure resulting from the process of Figures 10A-12B;
  • Figures 13A-15B are schematic, top plan views and cross-sectional views showing a process sequence for forming three dimensional features in a target layer, in accordance with some embodiments, wherein Figures 13A and 14A are schematic, top plan views; Figure 13B is a cross-section of Figure 13 A, taken along the line 13B-13B; Figures 14B and 14C are cross-sectional views of Figure 14A, taken along the lines 14B-14B and 14C-14C, respectively; and Figures 15A and 15B are cross-sectional views of Figure 14A. taken along the lines 14B-14B and 14C-14C. respectively, after a pattern of features has been transferred into the target layer:
  • Figure 15C is a schematic, perspective view of a structure resulting from the process of Figures 13A-15B;
  • Figures 16A-16D are schematic, top plan views showing a process sequence for fo ⁇ ning landing pads, in accordance with some embodiments.
  • the tenn '"integrated circuit (IC) device refers to a semiconductor device, including, but not limited to. a memory device and a microprocessor.
  • the memory device may be a volatile memory such as a random access memory (RAM) or non-volatile memory such as a read-only memory (ROM).
  • RAMs include dynamic random access memories (DRAMs) and static random access memories (SRAMs).
  • ROMs include programmable read-only memories (PROMs), erasable programmable read-only memories (EPROMs), electrically-erasable programmable read-only memories (EEPROMs), and flash memories.
  • semiconductor substrate is defined to mean any construction comprising semiconductor materials, including, but not limited to. bulk semiconductor materials such as a semiconductor wafer (either alone or in integrated assemblies comprising other materials thereon) and semiconductor material layers (either alone or in integrated assemblies comprising other materials).
  • substrate refers to any supporting substrate, including, but not limited to, the semiconductor substrates described above.
  • layer encompasses both the singular and the plural unless otherwise indicated. A layer may overlie a portion of, or the entirety of, a substrate.
  • features refers to parts of a pattern, such as lines, spaces, via, pillars, trenches, troughs, or moats.
  • '"mandrels refers to mask features formed at a vertical level.
  • intervening mask features refers to mask features that are formed between two immediately neighboring mandrels.
  • array refers to a regularly repeating pattern of IC elements on a semiconductor substrate.
  • a memory array typically has a number of identical memory cells in a matrix form.
  • Logic arrays may similarly include repeating patterns of conductive lines and/or transistors.
  • target layer refers to a layer in which a pattern of features is formed.
  • a target layer may be part of a semiconductor substrate.
  • a target layer may include metal, semiconductor, and/or insulator.
  • transferring a pattern from a first (e.g., masking) level to a second level involves forming features in the second level that generally correspond to features on the first level.
  • the path of lines in the second level will generally follow the path of lines on the first level.
  • the location of other features on the second level will correspond to the location of similar features on the first level.
  • the precise shapes and sizes of corresponding features can vary from the first level to the second level, however due, for example, to trim and growth steps.
  • the sizes of and relative spacings between the features fo ⁇ ning the transferred pattern can be enlarged or diminished relative to the pattern on the first level, while still resembling the same initial "pattern. " '
  • processing through masks is described for some embodiments as etching to transfer a hard mask pattern into a target layer, the skilled artisan will appreciate that processing in other embodiments can comprise, e.g., oxidation, nitridation, selective deposition, doping, etc. through the masks.
  • methods are provided for forming a masking pattern for an electronic device, such as an integrated circuit.
  • mandrels defining a first pattern are formed in a first masking layer provided over a target layer.
  • the mandrels may be formed of a resist, a hard mask material, or part of a substrate.
  • a second masking layer is deposited in spaces between the mandrels. The second masking layer at least partly fills the spaces between the mandrels. In some embodiments, the second masking layer may bury the first pattern.
  • one or more sacrificial structures are formed to define a second pattern having a smaller pitch than the first pattern.
  • the one or more sacrificial structures may be formed by altering, e.g., chemically altering, portions of either or both of the mandrels and the second masking layer.
  • the one or more sacrificial structures may be formed by growing or depositing a layer of a material that is different, or selectively etchable relative to, those of the first and second masking layers before depositing the second masking layer.
  • the resulting intermediate masking structures according to some embodiments are shown in Figures 2A and 2B.
  • an intermediate masking structure 200A includes mandrels 130. a second masking layer 140, and sacrificial structures 150 that are fo ⁇ ned on a target layer 1 10.
  • the mandrels 130 are spaced apart.
  • the sacrificial structures 150 are formed on top and side surfaces 130a, 130b of the mandrels 130.
  • the second masking layer 140 fills the remainder of the spaces between the mandrels 130.
  • another intermediate masking structure 200B includes mandrels 130, a second masking layer 140, sacrificial structures 150, and partial gap fillers 155 formed on a target layer 1 10.
  • the mandrels 130 are spaced apart from one another on the target layer 1 10.
  • the sacrificial structures 150 are conformally fo ⁇ ned on top and side surfaces 130a. 130b of the mandrels 130.
  • the partial gap fillers 155 are formed of the same material as the material of the sacrificial structures.
  • the partial gap fillers 155 are formed on top surfaces 1 12 of the target layer 1 10 between the mandrels 130 covered with the sacrificial structures 150. In some embodiments, the partial gap fillers 155 may be fo ⁇ ned simultaneously with the sacrificial structures 150.
  • the second masking layer 140 fills the remaining spaces between the mandrels 130.
  • the sacrificial structures 150 are removed to create gaps between the mandrels 130 and the second masking layer 140. Such sacrificial structures are referred to as "anti-spacers " in the context of this document.
  • the resulting masking structure may include the mandrels 130 and intervening mask features fo ⁇ ned of the second masking layer 140 ( Figure 2A).
  • the resulting masking structure may include the mandrels ] 30 and intervening mask features including the second masking layer 140 and the partial gap fillers ( Figure 2B).
  • the mandrels 130 and the intervening mask features alternate with each other, and together define a second pattern.
  • the mandrels in the second pattern have a first pitch between two adjacent mandrels.
  • the intervening mask features in the second pattern have a pitch substantially the same as the first pitch.
  • the mandrels and intervening mask features are both used as masking features for the second pattern.
  • the second pattern has a second pitch defined by the mandrels and an immediately adjacent one of the intervening mask features.
  • the second pitch is about a half of the first pitch.
  • the pitch of the second pattern may be further reduced by performing an additional process employing anti-spacers as described herein, or by blanket depositing and etching spacer material to form spacers on sidewalls of the mandrels and intervening mask features.
  • the methods described herein can be used for forming three dimensional structures in a target layer.
  • the three dimensional structures include, but are not limited to. lines, trenches, vias, posts, pillars, troughs, moats, and two or more of the foregoing.
  • the methods can form structures having different sizes and shapes, for example, variable width conductive lines and landing pads.
  • Tables 1 and 2 The processes and materials of Tables 1 and 2 will be understood by those of skill in the art, particularly in view of the present disclosure.
  • the term “single level etch " refers to a process in which a single layer is provided and etched to form features of a pattern.
  • the term “multi level etch " refers to a process in which multiple layers are provided and etched to form features of a pattern.
  • the term '"diffusion limited shrink” refers to a process in which a solubility change in a feature is caused by a coat, thereby allowing a decrease in a dimension of the feature.
  • the term “diffusion limited growth'” refers to a process in which a material is chemically attached to a pre-existing feature, e.g.. through a reaction or adsorption, thereby increasing the dimension of the feature.
  • the te ⁇ n “freeze” refers to a surface treatment that protects a pattern by maintaining the integrity of the boundaries of the features forming the pattern; for example, freezing a pattern formed by a photoresist to prevent it from dissolving into an overlying photoresist layer.
  • a “freeze” process can be performed to change the chemical solubility of a material that is being “frozen. " After the freeze process, the frozen material no longer exhibits solubility to solvents which would otherwise dissolve the material before the freeze process. For example, a photoresist, after being subjected to a freeze process, would be insoluble to solvents, such as propylene glycol monomethy] ether acetate (PGMEA) or ethyl lactate.
  • PGMEA propylene glycol monomethy] ether acetate
  • the term "reflow" refers to a process inducing a feature size change, a line increase, and a space decrease, for example, a thermal process that is designed for such a feature size shift to occur.
  • the term "deprotection process" refers to a process in which a feature protected from a chemical reaction or dissolution by a solvent is released and allowed to become reactive or soluble.
  • the te ⁇ n "furnace” refers to a process that includes a thermal bake at a temperature ranging typically, but not limited to, from about 25O 0 C to about 1000 0 C.
  • solvent development refers to a process in which an unconventional solvent-based developer (e.g., a solvent other than tetramethylammonium hydroxide (TMAH)) is used to define a pattern.
  • TMAH tetramethylammonium hydroxide
  • the term "descum” refers to a process for removing small portions or residues of a material.
  • the term “slim process” refers to a process that induces a feature size change, namely, a size decrease and a space increase.
  • the term “overcoating” refers to a process of depositing or spinning-on a layer over an existing layer.
  • the te ⁇ n "anti-spacer formation” refers to a process of fonning anti-spacers, as described herein.
  • the te ⁇ n “selectivity change " refers to an etch process having the ability to differentiate the etch rate of a target material from the etch rate of a non-target material.
  • plating process refers to an electrochemical process of depositing a metal on an existing layer(s).
  • shrink refers to a process for reducing a size of a feature.
  • te ⁇ n plasma growth
  • vapor treatment refers to a process in which a gas phase material is used to interact with a substrate.
  • silane compound refers to a process of forming a silane compound.
  • phase change refers to a process in which a substrate undergoes a phase change during the process.
  • solubility change refers to a process that changes the solubility of a material in a specific solution.
  • the mandrels 130, the second masking layer 140, the sacrificial structures 150, and/or partial gap fillers 155 may be formed of various materials. Examples of such materials include, but are not limited to, those listed in Table 2.
  • the term “specific development chemistry” refers to a chemical or material, such as butyl acetate or other customized solvents for development.
  • the te ⁇ n “underlayer” refers to a layer of material used for a pattern transfer into an underlying layer.
  • the te ⁇ n “reactivity promoter” refers to a chemical agent that promotes the growth of an organic material on a feature.
  • a reactivity promoter may or may not act as a catalyst to a reaction which it promotes
  • a reactivity promoter may contribute to the attachment of one mate ⁇ al to the surface of anothei material
  • the various mate ⁇ als of Table 2 may be formed by one or more of the processes of Table 1
  • the mate ⁇ als can be combined together and possibly with other mate ⁇ als to fo ⁇ n masks for defining patterns
  • This list is for illustrative purposes only, such that the application of the processes noted herein to some embodiments of the invention may be expressed The list is not intended to be exhaustive, and as such mate ⁇ als and techniques used m the anti-spacer formation are not limited to this list
  • a method for forming a masking pattern for an electronic device such as an integrated ciicuit
  • First mandrels defining a first pattern are fo ⁇ ned in a first masking layer deposited over a target layer
  • the mandrels may be fo ⁇ ned of a iesist or a hard mask material
  • a second masking layer is deposited on and over the first masking layer to at least partly bury the first pattern while maintaining the first pattern
  • the first pattern may be maintained by subjecting the first pattern to a surface treatment using, for example, a so-called freeze technique, p ⁇ or to depositing the second masking layer
  • Portions of the second masking layei proximate to the mandrels are chemically altered such that the portions are more chemically removable (have higher etchabihty) than unaltered parts of the second masking layer
  • the chemically altered portions are immediately adjacent the mandrels and have a selected width, and can be referred to as "anti-spacers " in the context of this document
  • the chemical alteration can be achieved by a bake that drives an acid- or base-initiated reaction using an acid or base diffused from the mandrels
  • the anti-spacers may not expand into the mandrels in this embodiment
  • both portions of the mandrels immediately adjacent to the second masking layer and portions of the second masking layer immediately adjacent to the mandrels may be chemically altered In such embodiments, the altered portions of both the mandrels and the second masking layer fo ⁇ n anti-spacers In yet other embodiments, portions of the mandrels immediately adjacent to the second masking layer may be chemically altered while substantially no portion of the second masking layer is chemically altered, thereby forming anti-spacers only in the altered portions of the mandrels.
  • the chemically altered portions are removed, exposing the mandrels.
  • an additional step(s) can be perfo ⁇ ned to remove any material over the chemically altered portions to expose top surfaces of the chemically altered portions before removing the chemically altered portions.
  • the remainder of the second masking layer forms intervening mask features.
  • the mandrels and the intervening mask features together define a second pattern. The second pattern is transferred into the target layer.
  • Figures 3A-3K illustrate a method of forming a masking pattern using anti-spacers in accordance with some embodiments.
  • a hard mask layer 120 is provided over a target layer 1 10.
  • a first resist layer 230 is provided over the hard mask layer 120.
  • the target layer 1 10 may be a layer in which various IC components, parts, and structures are to be formed through IC fabrication processes. Examples of the components, parts, and structures include transistors, capacitors, resistors, diodes, conductive lines, electrodes, spacers, trenches, etc.
  • the identity of the target layer material depends on the type of device to be fo ⁇ ned in the target layer 1 10. Examples of target layer materials include, but are not limited to, insulators, semiconductors, and metals.
  • the target layer 110 may be fo ⁇ ned over a substrate, for example, a semiconductor substrate in certain embodiments. In certain other embodiments, at least a portion of a semiconductor substrate forms the target layer 1 10.
  • the hard mask layer 120 is a layer that provides a pattern to be transferred into the target layer 1 10. As described herein, the hard mask layer 120 is patterned to form an array of features that serve as a mask for the target layer 1 10, e.g., in an etch step. While illustrated with one hard mask layer, the processes described herein can employ two or more hard mask layers. In certain embodiments, the hard mask layer 120 may be omitted.
  • the hard mask layer 120 may be formed of an inorganic material.
  • the hard mask layer 120 is formed of a dielectric anti-reflective coating (DARC). for example, sihcon- ⁇ ch silicon oxynit ⁇ de (SiO x Nv)
  • the DARC layer may contain silicon in an amount from about 30 ⁇ t% to about 80 wt% with reference to the total weight of the layer
  • the DARC layei may contain silicon in an amount from 35 wt% to about 70 wt% with reference to the total weight of the layer
  • the hard mask layer 120 may be formed of silicon, silicon oxide (SiO 2 ) or silicon mt ⁇ de (S1 3 N 4 )
  • the hard mask layer 120 may be formed of an organic material
  • the hard mask layer 120 may be formed of amorphous carbon
  • va ⁇ ous other hard mask materials can be used for the hard mask layer 120
  • the hard mask layer 120 may have a
  • the first resist layer 230 may be formed of a first resist material
  • the first resist material is selected based on the type of lithography used for patterning the first resist layer 230 Examples of such lithography include, but are not limited to. ultiaviolet (UV) lithography, extreme ultraviolet (EUV) lithography, X-ray lithography and imprint contact lithography
  • the first resist matenal is a photoresist, such as a positive resist
  • the material of the first resist layer 230 may be varied depending on lithography, availability of selective etch chemistries and IC design
  • a bottom anti-reflective coating (BARC) layer may be provided between the first resist layer 230 and the hard mask layei 120 BARCs, which are typically organic, enhance resolution by preventing reflections of the ultraviolet (UV) radiation that activates the photoresist BARCs are widely available, and are usually selected based upon the selection of the resist matenal and the UV wavelength BARCs, which are typically polymer-based, are usually removed along with the overlying photoresist
  • the optional BARC layer may have a thickness of between about 2O ⁇ A and about 6O ⁇ A. optionally between about 3O ⁇ A and about 5O ⁇ A
  • the first resist layer 230 is exposed to a pattern of light directed through a photomask over the first resist layer 230
  • the first resist layer 230 is fo ⁇ ned of a positive photoresist
  • Exposed portions 232 of the first resist layer 230 become soluble in a developer while unexposed portions 234 of the first resist layer 230 remain insoluble in the developer.
  • the first resist layer 230 may be formed of a negative photoresist.
  • exposed portions 234 of the first resist layer 230 become insoluble in a developer while unexposed portions 232 of the first resist layer 230 remain soluble in the developer.
  • the first resist layer 230 is subjected to development using any suitable developer.
  • developers include, but are not limited to. sodium hydroxide and tetramethylammonium hydroxide (TMAH).
  • TMAH tetramethylammonium hydroxide
  • rinsing solutions e.g., propylene glycol monomethyl ether acetate (PGMEA) and/or propylene glycol monomethyl ether (PGME)
  • PMEA propylene glycol monomethyl ether acetate
  • PGME propylene glycol monomethyl ether
  • PEB post-exposure bake
  • the exposed portions 232 of the first resist layer 230 are removed by the development.
  • the mandrels 234 provide a first pattern 231 while exposing surfaces 122 of the hard mask layer 120.
  • the first pattern 231 has a first pitch Pl between two neighboring mandrels 234, as shown in Figure 3B.
  • Each of the mandrels 234 has a top surface 236 and a side surface 238.
  • the illustrated mandrels 234 have a substantially rectangular or square cross-section. The skilled artisan will, however, appreciate that the cross-sectional shape of the mandrels 234 can be different from that illustrated. For example, the cross-sectional slope can be rounded.
  • a chemically active species for example, an acid solution
  • the acid solution can be a spin-on coating that covers the mandrels 234 and the exposed portions 122 of the hard mask layer 120.
  • the acid solution can include an acid such as a conventional photo resist PAG or other organic acid.
  • a bake process is conducted to thermally diffuse the acid into at least portions of the features 234 that are proximate to the top and side surfaces 236. 238 thereof.
  • the acid may coat the top and side surfaces 236. 238 of the features 234 without being diffused into the features 234.
  • this step may be omitted.
  • a base solution may be deposited over the structure in place of the acid solution.
  • the mandrels 234 may be subjected to a surface treatment.
  • the surfaces 236. 238 of the mandrels 234 are modified such that the integrity of the mandrels 234 is maintained while a second resist layer is formed and patterned thereon.
  • the surface treatment may fo ⁇ n a barrier coat or protective layer 236 on the surfaces 236, 238 of the mandrels 234.
  • Such a surface treatment can be referred to as ''freeze " in the context of this document.
  • the surface treatment may or may not change the lateral dimensions of the mandrels 234, and may or may not change the spacings between adjacent mandrels 234.
  • the mandrels 234 can be frozen by various freeze techniques.
  • the mandrels 234 can be frozen by chemical freeze, using a commercially available fluid overcoat.
  • An example of a chemical freeze technique is disclosed by JSR corporation of Tokyo, Japan in their present product line.
  • the mandrels 234 can be frozen by a plasma freeze.
  • a plasma freeze can be conducted, using a plasma directed to the mandrels 234.
  • plasmas include a fluorine-containing plasma generated from, e.g., a fluorocarbon (e.g., CF 4 , C 4 F 6 , and/or C 4 Fg), a hydrofluorocarbon (e.g., CH 2 F 2 , and/or CHF 3 ), or NF 3 .
  • a fluorocarbon e.g., CF 4 , C 4 F 6 , and/or C 4 Fg
  • a hydrofluorocarbon e.g., CH 2 F 2 , and/or CHF 3
  • NF 3 NF 3
  • the mandrels 234 can be frozen by a the ⁇ nal freeze.
  • the thermal freeze can be conducted at a temperature between about 110 0 C and about 18O 0 C.
  • An example of a thennal freeze technique is disclosed by Tokyo Ohka Kogyo Co., Ltd. of Kawasaki-shi, Kanagawa Prefecture, Japan in their commercially available products.
  • a second resist layer 240 is blanket deposited over the mandrels 234 and the exposed surfaces 122 of the hard mask layer 120.
  • the second resist layer 240 can have a substantially planar top surface 244.
  • the second resist layer 240 may be formed of a second resist material.
  • the second resist material may be of the same composition as the first resist material or of a different composition from the first resist material.
  • the first and second resist materials may be of the same or different type with regard to being positive or negative photoresist.
  • the second resist material may include a chemically amplified photoresist.
  • the chemically amplified photoresist may be an acid-catalyzed or base-catalyzed material.
  • Examples of chemically amplified photoresists include, but are not limited to, 193nm and 248nm photo resists. Some 1-line materials are also chemically amplified.
  • the second resist material may include a bottom anti-reflective coating (BARC) material modified to be suitable for a solubility change by acid or base diffusion.
  • BARC bottom anti-reflective coating
  • the second resist layer 240 may be formed to have a thickness sufficient to cover the top surface 236 of the mandrels 234. Portions 242 of the second resist layer 240 overlying the top surfaces 236 of the mandrels 234 can be referred to as "top coat " in the context of this document.
  • the top coats 242 may have a thickness selected such that all the resulting masking features have substantially the same height after the frozen mandrels 234 and other features that will be formed from portions of the second resist layer 240 are subjected to development. The resulting masking features will form a pattern to be transferred into the underlying target layer 1 10.
  • the structure of Figure 3F is subjected to a bake.
  • the bake may be conducted at a temperature of about 1 10 0 C to about 220 0 C for about 0.5 min. to about 3 min.
  • the bake may be conducted at a temperature of about 1 10 0 C to about 160 0 C.
  • the bake drives an acid- catalyzed reaction that alters the solubility of the second resist layer 240 in a developer.
  • the acid-catalyzed reaction changes portions 250 of the second resist layer 240 that are proximate to the mandrels 234, causing those changed portions to become soluble in the developer.
  • the portions 250 of the second resist layer 240 may include the top coats 242 of the second resist layer 240 and portions 244 adjoining the side surfaces 238 of the mandrels 234.
  • the portions 250 that become soluble can be referred to as l 'anti-spacers.
  • the acid-catalyzed reaction is initiated at or near the top and side surfaces 236, 238 of the mandrels 234 during the bake step.
  • an acid diffused into the mandrels 234 during the step of Figure 3D now diffuses into the top coats 242 and the adjoining portions 244 of the second resist layer 240 (as indicated by arrows in Figure 3G). and changes the solubility of the portions 242. 244, thereby forming anti-spacers 250 around and on top of the mandrels 234.
  • the width Wl of the anti-spacers 250 can be controlled by changing, for example, the bake time and/or temperature, the porosity of layer 240. and the size of acid species.
  • the bake drives a base-catalyzed reaction that may alter the solubility of the second resist layer 240 in a developer.
  • a base solution is provided in the step of Figure 3D, rather than an acid solution.
  • anti-spacers can be formed in the same manner as in the embodiment described herein in connection with Figure 3G.
  • other portions (not shown) of the second resist layer 240 may be optionally exposed to a pattern of light before or after the bake step.
  • This exposure step can be used to form patterns in the other areas by photolithography, rather than by forming anti-spacers.
  • the structure shown in Figure 3F or 3G may be blocked from light, if the structures are formed of a positive photoresist.
  • This optional exposure step can form structures larger in width than the structures shown in Figure 3H.
  • the optional exposure step can be used to fonn structures in a peripheral region of an IC device or substrate while methods employing anti-spacers can be used to form structures in an array region of the IC device or substrate.
  • the structure resulting from the step of Figure 3G is subjected to development which serves to selectively remove the anti-spacers 250.
  • Any suitable developer may be used to remove the anti-spacers 250 ( Figure 3G).
  • developers include, but are not limited to, sodium hydroxide and tetramethylammonium hydroxide (TMAH).
  • TMAH tetramethylammonium hydroxide
  • rinsing solutions e.g., propylene glycol monomethyl ether acetate (PGMEA) and/or propylene glycol monomethyl ether (PGME)
  • this development step can be performed at room temperature for about 0.5 min. to about 3 min.
  • This step exposes the pre-existing mandrels 234 while defining intervening mask features 248 fonned of the second resist material.
  • the illustrated intervening mask features 248 have a T-shaped top portion, but the skilled artisan will appreciate that the shape of the intervening mask features 248 can vary, depending on the conditions (e.g., temperature, duration, etc.) of the development.
  • the mandrels 234 may have a first height Hl and the intervening mask features 248 may have a second height H2 that is greater than the first height Hl .
  • the developer may also anisotropically remove at least part of top portions of the mandrels 234 and the intervening mask features 248.
  • the mandrels 234, which have been frozen, may be developed at a slower rate than the intervening mask features 248.
  • the mandrels 234 and the intervening mask features 248 can have substantially the same height H3 as each other if the thicknesses of the top coats 242 of the second layer 240 have been selected such that the heights of the mandrels 234 and the intervening mask features 248 are substantially the same as each other after the development.
  • both of the mandrels 234 and the intervening mask features 248 can have rounded top portions.
  • an isotropic etch process may be conducted after the development to reduce the widths of the mandrels 234 and the intervening mask features 248.
  • the second pattern 260 has a second pitch P2 between neighboring features.
  • the second pitch P2 is about half of the first pitch Pl in the illustrated embodiment.
  • an etch step is conducted to transfer the second pattern 260 into the underlying hard mask layer 120.
  • the second pattern 260 may be transferred into the hard mask layer 120 using any suitable etch process.
  • the etch process can be a dry or wet etch process.
  • the etch process can be a plasma etch process, for example, a high density plasma etch process.
  • the plasma etch process may be an anisotropic etch process.
  • the target layer 1 10 is etched through the hard mask layer 120. As a result, trenches or troughs 205 are formed in the target layer 1 10. In some embodiments, through-holes can be formed through the target layer 1 10.
  • an etch stop layer (not pictured) can be used between the hard mask layer 120 and the target layer 1 10.
  • the etch stop can be made of, for example, DARC or silicon nitride, depending upon the composition of the target layer 110.
  • the etch stop avoids damage to the target layer 1 10 during the etching of the hard mask layer 120, such as during pattern transfer to the hard mask layer 120 or during removal of the hard mask layer 120. This may be particularly desirable when the target layer 1 10 is a metal, such as a metallization layer.
  • the hard mask layer 120 and the overlying features 234, 248 may be removed by etch processes, such as a wet etch. Subsequently, additional steps such as metallizations may be conducted to form integrated circuits.
  • Figures 4A-4H illustrate a method of forming a masking pattern using anti-spacers in accordance with other embodiments.
  • the mandrels are formed from a hard mask layer or other material other than a photoresist layer.
  • the method includes providing the target layer 1 10. Details of the target layer 110 can be as described above in connection with Figure 3A.
  • a hard mask layer is formed over the target layer 1 10.
  • the hard mask layer may be formed of a silicon-containing organic material.
  • the silicon-containing organic layer may contain silicon in an amount from about 10 wt% to about 35 wt% with reference to the total weight of the layer.
  • An example of a silicon- containing organic materials includes, but is not limited to, SHB-A629 (available from Shin Etsu, Tokyo, Japan).
  • the hard mask layer may have a thickness of between about 40 run and about 800 nm, optionally between about 1 ⁇ m and about 3 ⁇ m.
  • the hard mask layer is then patterned to form mandrels 330, as shown in Figure 4A.
  • the hard mask layer can be patterned using any suitable process, including, but not limited to, a photolithographic process in which photoresist is patterned and the pattern is transferred to the hard mask layer.
  • the mandrels 330 are spaced apart from one another and have a first pitch Pl and expose surfaces 1 12 of the target layer 1 10.
  • a chemically active species for example, an acid solution
  • the acid solution can be spin-on deposited on the structure to cover the mandrels 330 and the exposed surfaces 1 12 of the target layer 1 10.
  • the acid solution can include any organic acid, such as a PAG.
  • a bake process is conducted to thermally diffuse the acid into at least portions of the mandrels 330 proximate to the top and side surfaces 332, 334 of the mandrels 330.
  • the mandrels 330 are at least partially permeable to the acid while the target layer 1 10 is substantially impermeable to the acid.
  • the mandrels 330 can have an acid coat 326 on the surfaces 332, 334 thereof while the exposed portions 1 12 of the target layer 1 10 do not have an acid coat formed thereon, as shown in Figure 4C.
  • the chemically active species may be in the form of a gas or may be in the solid state.
  • a resist layer 340 is formed over the mandrels 330 and the exposed portions 1 12 of the target layer 1 10 and may have a substantially planar top surface 344.
  • the resist layer 340 may be formed of a resist material that is the same as the second resist material described above in connection with Figure 3F.
  • the resist material may include a chemically amplified resist.
  • the chemically amplified resist may be either acid-catalyzed or base-catalyzed.
  • a base coat (rather than an acid coat) is provided over the mandrels 330 and the exposed portions 1 12 of the target layer 1 10 in the step of Figure 4C.
  • Other details of forming the resist layer 340 can be as described above in connection with Figure 3F.
  • the structure resulting from the step of Figure 4D is subjected to a bake.
  • the bake forms anti-spacers 350 at the sides and on top of the mandrels 330.
  • Other details of the bake step can be as described in connection with Figure 3G.
  • other portions (not shown) of the resist layer 340 may be optionally exposed to a pattern of light before or after the bake step of Figure 4E. This exposure step can be used to form patterns in the other portions by photolithography, rather than by forming anti-spacers.
  • the structure shown in Figure 4E may be blocked from light, if the structures are fo ⁇ ned of a positive photoresist.
  • This optional exposure step can form structures larger in width than the structures shown in Figure 4F.
  • the optional exposure step can be used form structures in a peripheral region of an IC device or substrate while methods employing anti-spacers can be used to form structures in an array region of the IC device or substrate.
  • the structure resulting from the step of Figure 4E is subjected to development to remove the anti-spacers 350.
  • This step exposes the pre-existing mandrels 330 fonned of the hard mask material while forming intervening mask features 345 fo ⁇ ned of the resist material.
  • the illustrated intervening mask features 345 have a T-shaped top portion, but the skilled artisan will appreciate that the shape of the intervening mask features 345 can vary, depending on the conditions (e.g. temperature, duration, etc.) of the development.
  • the mandrels 330 may have a first height Hl and the intervening mask features 345 have a second height H2 that is greater than the first height Hl.
  • the developer can also remove at least part of the top portions of the intervening mask features 345.
  • the mandrels 330 which are formed of a hard mask material, may not be eroded by the developer.
  • the mandrels 330 and the intervening mask features 345 may have substantially the same height H3 as each other. As shown in Figure 4G, the mandrels 330 may retain their original shape while the intervening mask features 345 may have rounded top portions.
  • the second pattern 360 has a second pitch P2 between a mandrel 330 and a neighboring intervening mask feature 345.
  • the second pitch P2 is about half of the first pitch Pl in the illustrated embodiment.
  • an etch step is conducted to transfer the second pattern 360 into the target layer 1 10.
  • the second pattern 360 may be transferred into the target layer 1 10 using any suitable etch process.
  • the etch process can be a dry or wet etch process.
  • the etch process can be a plasma etch process, optionally a high density plasma etch process.
  • the plasma etch process may be an anisotropic etch process. Other details of this step can be as described above in connection with Figure 3K.
  • trenches or troughs 305 are fo ⁇ ned in the target layer 1 10. Because the mandrels 330 (formed of a hard mask material) may be etched at a faster rate than the intervening mask features 345 (formed of a photoresist) during the transfer step of Figure 4H, the height H4 of the remaining mandrels 330 may be greater than the height H5 of the remaining intervening mask features 345 after the completion of the transfer step.
  • the mandrels 330 and the intervening mask features 345 may be removed by known etch processes, such as a wet etch step. Subsequently, additional steps such as metallization may be conducted to complete integrated circuits.
  • Figures 5A-5D illustrate a method of forming a masking pattern using anti-spacers in accordance with yet other embodiments.
  • the method includes providing the target layer 110. Details of the target layer 110 can be as described above in connection with Figure 3A.
  • Hard mask layer 120 is formed on the target layer 110. Details of the hard mask layer 120 can be as described above in connection with Figure 3A. In certain embodiments, the hard mask layer 120 may be omitted.
  • mandrels 430 are formed of a photoresist material on the hard mask layer 120. Details of forming the mandrels 430 can be as described above in connection with Figures 3A-3C.
  • the mandrels 430 provide a first pattern 431 while exposing surfaces 122 of the hard mask layer 120.
  • the first pattern 431 has a first pitch Pl between two neighboring mandrels 430, as shown in Figure 5A.
  • Each of the mandrels 430 has a top surface 436 and a side surface 438.
  • the illustrated mandrels 430 have a substantially rectangular or square cross-section. The skilled artisan will, however, appreciate that the cross-sectional shape of the mandrels 430 can be different from that illustrated.
  • a chemically active species 432 for example, an acid or base solution
  • the acid or base solution can be spin-on deposited on the structure to cover the mandrels 430 and the exposed portions 122 of the hard mask layer 120.
  • the details of the acid or base solution can be as described above in connection with Figure 3D.
  • FIG. 5B the structure of Figure 5A is subjected to a bake.
  • the details of the bake can be as described above in connection with Figure 3G.
  • the bake drives an acid- or base-catalyzed reaction that alters the solubility of portions 452, 454 of the mandrels 430.
  • the acid- or base-catalyzed reaction changes top portions 452 and side portions 454 of the mandrels 430, causing those changed portions to become soluble in a developer.
  • the top and side portions 452, 454 of the mandrels 430 form anti-spacers 450.
  • the resulting mandrels 430' have a reduced size both vertically and horizontally.
  • Such mandrels 430' can be referred to as reduced mandrels in the context of this document.
  • the reduced mandrels 430' covered with the anti-spacers 450 may be subjected to a surface treatment.
  • Surfaces of the anti-spacers 450 are modified such that the integrity of the mandrels is maintained while a second resist layer is formed thereon.
  • the details of the surface treatment can be as described above in connection with Figure 3 E.
  • a second masking layer 440 is blanket deposited over the anti-spacers 450 and the exposed surfaces 122 of the hard mask layer 120.
  • the second masking layer may have a smaller height than the anti-spacers such that the second masking layer surrounds sidewalls of the anti-spacers while exposing top surfaces of the anti-spacers.
  • the second masking layer 440 may be formed of an image reversal material, such as an Image Reversal Overcoat (IROC) material and other similar materials, e.g., as outlined in US Patent Application Publication No. 2009/0081595 from Shin-Etsu Chemical Co., Ltd (Tokyo, Japan).
  • Bottom Anti-Reflection Coating (BARC) materials can also be used for the second masking layer 440. Other details of this step can be as described above in connection with Figure 3F.
  • the second masking layer 440 may be formed to have a thickness sufficient to cover the top portions 452 of the anti-spacers 450. Portions of the second masking layer 440 overlying the top portions 452 of the anti-spacers 450 may be referred to as "top coats " in the context of this document. [0101] Referring to Figure 5D, the structure resulting from the step of Figure 5C is subjected to development. Any suitable developer may be used to remove the anti-spacers 450 ( Figure 5C). This step exposes the reduced mandrels 430' while defining intervening mask features 448 formed of the material of the second masking layer 440.
  • the second pattern 460 has a second pitch P2 between a reduced mandrel 430' and a neighboring intervening mask feature 448.
  • the second pitch P2 is about half of the first pitch Pl ( Figure 5A) in the illustrated embodiment.
  • Other details of this step can be as described above in connection with Figure 3H.
  • the step shown in Figure 5D can be followed by steps as described above in connection with Figures 31 to 3K to transfer the second pattern 460 into the target layer 1 10.
  • mandrels defining a first pattern are formed in a first masking layer provided over a target layer.
  • One or more sacrificial structures may be formed by conformally growing or depositing a layer to cover at least exposed sidewall surfaces of the mandrels.
  • the layer may be fonned of a material that is different from that of the first masking layer.
  • a second masking layer is deposited to fill spaces defined by the mandrels covered with the sacrificial structures.
  • the second masking layer may cover top surfaces and sidewalls of the mandrels covered with the sacrificial structures.
  • an additional step(s) e.g., a descum step, can be performed to remove portions of the second masking layer over the sacrificial structures to expose top surfaces of the sacrificial structures.
  • the second masking layer may have a smaller height than the anti-spacers such that the second masking layer surrounds sidewalls of the anti-spacers while exposing top surfaces of the anti-spacers.
  • the second masking layer may be formed of a material different from the material of the sacrificial structures.
  • Figures 6A-6E illustrate a method of forming a masking pattern by growing anti-spacers.
  • mandrels may be formed from a hard mask layer or other material other than a photoresist layer, as discussed with reference to Figure 4A.
  • the target layer 1 10 is provided. Details of the target layer 1 10 can be as described above in connection with Figure 3 A.
  • a hard mask layer is formed over the target layer 1 10, and is patterned to form mandrels 330, as shown in Figure 6A.
  • the mandrels 330 are spaced apart from one another with a first pitch Pl while exposing surfaces 1 12 of the target layer 1 10.
  • Each of the mandrels 330 has a top surface 332 and side surfaces 334. Other details of this step can be as described above in connection with Figure 4A.
  • a sacrificial material such as an organic material, is grown on the top and side surfaces 332, 334 of the mandrels 330, while exposing substantial portions of the exposed surfaces 112 of the target layer 1 10.
  • organic materials include, but are not limited to, perhydropolysilazane (PHPS) or polyhedral oligomeric silsesquioxanes (POSS).
  • PHPS perhydropolysilazane
  • PES polyhedral oligomeric silsesquioxanes
  • the organic material can be grown by a diffusion limited growth technique.
  • the reaction temperature may be between about 100 0 C and about 18O 0 C, which may be below the glass transition temperature Tg of the original mandrel material.
  • Attachment of the sacrificial material may be catalyzed by another wet treatment or a material that may be present in the chemical formulation of the mandrel.
  • Critical dimensions can then be modulated by controlling reaction temperature in combination with the chemical compositions used in the sacrificial material.
  • the organic material forms anti-spacers 650 that include top portions 652 and side portions 654 covering the top and side surfaces 332. 334, respectively, of the mandrels 330.
  • a second masking layer 640 is blanket deposited by, for example, spin-on deposition, over the anti-spacers 650 and the exposed surfaces 1 12 of the target layer 1 10.
  • the second masking layer 440 may be formed of a silicon hard mask material, such as STHl 125B manufactured by Shin-Etsu Chemical Co., Ltd (Tokyo, Japan) or similar commercial hardmask material readily available to those versed in the art. Other details of this step can be as described above in connection with Figure 5C.
  • the second masking layer 640 may be formed to have a thickness sufficient to slightly cover top portions 652 of the anti-spacers 650. Portions of the second masking layer 640 overlying the top portions 652 of the anti-spacers 650 may be referred to as "top coats " in the context of this document.
  • the structure resulting from the step of Figure 6C is subjected to a chemical descum process.
  • the chemical descum process serves to remove the top coats of the second masking layer 640, thereby exposing the top portions 652 of the anti-spacers 650.
  • the chemical descum may be performed using a wet etch or a plasma etch such as a buffered oxide etch (BOE) dip process or Argon sputter etch.
  • Tetram ethyl ammonium hydroxide (TMAH) developer can also be used to clean up these feature areas at a temperature ranging from about 10 0 C to about 5O 0 C.
  • the structure resulting from the step of Figure 6D is subjected to an etch process to remove the anti-spacers 650.
  • Any suitable etchant may be used to remove the anti-spacers 650, depending on the organic material.
  • the etchant can be a dry etchant, such as O 2 or halide-based plasma, or a wet etchant, such as tetramethylammonium hydroxide (TMAH), propylene glycol monomethyl ether (PGME), propylene glycol monomethyl ether acetate (PGMEA), or any other suitable organic solvent.
  • TMAH tetramethylammonium hydroxide
  • PGME propylene glycol monomethyl ether
  • PGMEA propylene glycol monomethyl ether acetate
  • This step exposes the mandrels 330 formed of the hard mask material while defining intervening mask features 645 formed of the silicon hard mask material or mandrel material that may have used a process to ensure that its solubility is compatible with the wet etch.
  • processes can be “freeze” techniques and they serve to limit the solubility of the mandrels in a wet etch process.
  • These "freeze” techniques can take on various forms, for example, a thermal cross linking agent in a resist.
  • the mandrels 330 and the intervening mask features 645 together define a second pattern 660.
  • the second pattern 660 has a second pitch P2 between a mandrel 330 and a neighboring intervening mask feature 645.
  • the second pitch P2 is about half of the first pitch Pl in the illustrated embodiment.
  • Other details of this step can be as described above in connection with Figure 3H.
  • the step shown in Figure 6E can be followed by steps as described above in connection with Figures 31 to 3K to transfer the second pattern 660 into the target layer 1 10.
  • Figures 7A-7F illustrate a method of forming a masking pattern using anti- spacers in accordance with yet other embodiments.
  • mandrels may be fo ⁇ ned from a hard mask layer or any other suitable material, using a process that allows selective growth of anti-spacers.
  • a photoresist can be used in the step shown in Figure 7A as long as it is appropriately mated to the processing requirements, e.g., with regard to solubility.
  • a photoresist used herein can withstand subsequent process steps. This can be achieved with a different solvent resist system, such as an alcohol- based resist or can be achieved with a "freeze " technique.
  • the method includes providing the target layer 1 10. Details of the target layer 1 10 can be as described above in connection with Figure 3A. A hard mask layer is formed over the target layer 1 10, and is patterned to form mandrels 330, as shown in Figure 7A. The mandrels 330 are spaced apart from one another with a first pitch Pl while exposing surfaces 112 of the target layer 110. Each of the mandrels 330 has a top surface 332 and side surfaces 334. Other details of this step can be as described above in connection with Figure 4A.
  • a reactivity promoter 655 is deposited on the top and side surfaces 332, 334 of the mandrels 330 without covering the exposed surfaces 1 12 of the target layer 1 10.
  • the reactivity promoter serves to facilitate the growth of an organic material on the surfaces of the mandrels 330 at the next step.
  • examples of such reactivity promoters include, but are not limited to, AZ materials used in RELACS (Resolution Enhancement Lithography Assisted by Chemical Shrink) processes, and a material including a hydroxyl group or organic acid designed to condition the reactivity of the mandrels to a material that covers the mandrels.
  • a sacrificial material such as an organic material, is grown on the top and side surfaces 332, 334 of the mandrels 330 that are covered with the reactivity promoter 655.
  • the organic material does not cover the exposed surfaces 1 12 of the target layer 1 10 except for portions 1 12a of the exposed surfaces 1 12 proximate to the mandrels 330.
  • Examples of such organic materials include, but are not limited to, PHPS or a chain hydrocarbon with a bonding affinity to the mandrels covered with the reactivity promoter.
  • the organic material can be grown by a diffusion limited growth technique by means of a fluid overcoat at a controlled temperature, for example, in a range between about 10 0 C and about 180 0 C. .
  • the organic material forms anti-spacers 650 that cover the top and side surfaces 332, 334 of the mandrels 330.
  • a second masking layer 640 is blanket deposited by, for example, spin-on deposition, over the anti-spacers 650 and the exposed surfaces 1 12 of the target layer 1 10.
  • the second masking layer 640 can optionally cover top surfaces of the anti-spacers 650.
  • the second masking layer 640 may be formed of, for example, a silicon hard mask material. The details of this step can be as described above in connection with Figure 6C.
  • the structure resulting from the step of Figure 7E is subjected to an etch process to remove the anti-spacers 650.
  • the details of this step can be as described above in connection with Figure 6E.
  • This step exposes the mandrels 330 formed of the hard mask material while defining intervening mask features 645 fo ⁇ ned of the material forming the second masking layer 640, e.g., a silicon hard mask material.
  • the mandrels 330 and the intervening mask features 645 together define a second pattern 660.
  • the second pattern 660 has a second pitch P2 between a mandrel 330 and a neighboring intervening mask feature 645.
  • the second pitch P2 is about half of the first pitch Pl in the illustrated embodiment.
  • Other details of this step can be as described above in connection with Figure 3H.
  • the step shown in Figure 7F can be followed by steps as described above in connection with Figures 31 to 3K to transfer the second pattern 660 into the target layer 1 10.
  • Figures 8A-8F illustrate a method of forming a masking pattern using anti- spacers in accordance with yet other embodiments.
  • mandrels may be formed from a hard mask layer or any other suitable material compatible with blanket deposition of an anti-spacer material, including materials discussed with reference to Figure 4A.
  • the method includes providing a target layer 1 10. Details of the target layer 110 can be as described above in connection with Figure 3A. A hard mask layer is formed over the target layer 110, and is patterned to form mandrels 330. as shown in Figure 8A. The mandrels 330 are spaced apart from one another with a first pitch Pl while exposing surfaces 1 12 of the target layer 110. Each of the mandrels 330 has a top surface 332 and side surfaces 334. Other details of this step can be as described above in connection with Figure 4A.
  • a sacrificial material is conformally deposited on the top and side surfaces 332. 334 of the mandrels 330 and the exposed surfaces 1 12 of the target layer 1 10.
  • sacrificial materials include, but are not limited to, BARC, DARC, photoresist, silicon-on-glass (SOG), and hardmask type material.
  • the sacrificial material can be deposited by, for example, spin-on coat or deposition.
  • Portions 852, 854 of the sacrificial material that cover the top and side surfaces 332. 334, respectively, of the mandrels 330 form anti-spacers 850.
  • Portions 855 of the sacrificial material formed on the surfaces 1 12 of the target layer 1 10 may be referred to as "partial gap fillers " in the context of this document.
  • a second masking layer 640 is deposited over the partial gap fillers 855 and can also extend over the anti-spacers 850. Other details of this step can be as described above in connection with Figure 6C.
  • the structure resulting from the step of Figure 8D is subjected to an etch process to remove the anti-spacers 850.
  • the etch process may use an anisotropic etch process, using any suitable dry etchant, such as C 2 F4, O 2 , Hbr, or F 2 .
  • the etchant can be a dry etchant, such as C 2 F 4 , O 2 , Hbr, and F 2 .
  • This step exposes the mandrels 330 formed of the hard mask material while defining intervening mask features 845 between the mandrels 330.
  • Each of the features 845 includes a structure 645 formed of the silicon hard mask material and a partial gap filler 855 underlying the structure 645.
  • the mandrels 330 and the intervening mask features 845 together define a second pattern 860.
  • the second pattern 860 has a second pitch P2 between a mandrel 330 and a neighboring intervening mask feature 845.
  • the second pitch P2 is about half of the first pitch Pl in the illustrated embodiment.
  • Other details of this step can be as described above in connection with Figure 3H.
  • the step shown in Figure 8E can be followed by steps as described above in connection with Figures 31 to 3K to transfer the second pattern 860 into the target layer 1 10.
  • a masking pattern fo ⁇ ned by the methods described herein may be used for further pitch multiplication.
  • the pitch of the masking pattern may be further reduced by conducting an additional process using anti-spacers.
  • anti-spacers may be formed around the mask features left after anti-spacer removal, e.g., including the mandrels 234 and intervening mask features 248, as shown in Figure 31, and the pitch of the resulting features can be reduced to about half of the second pitch P2.
  • a second set of anti-spacers are formed around and optionally over the mask features by repeating the steps of Figures 3D-3I, Figures 4A-4E, Figures 5A-5C, Figures 6A-6D, Figures 7A-7E, or Figures 8A-8D.
  • the second set of anti-spacers may be fo ⁇ ned by depositing a third masking layer to at least partially bury the second pattern and chemically altering portions of the third masking layer to form the second set of anti-spacers, as in the steps shown in Figures 3D-31.
  • Figures 4A-4E, or Figures 5A-5C are examples of the second set of anti-spacers.
  • the second set of anti-spacers may be formed by growing a second set of anti-spacers on the mask features, as in the steps shown in Figures 6A-6D, Figures 7A-7E, or Figures 8A-8D, and a third masking layer is deposited to fill spaces between the mask features covered with the anti-spacers.
  • the second set of anti-spacers are removed while leaving at least portions of the third masking layer to form additional intervening mask features.
  • the mandrels, the intervening mask features, and the additional intervening mask features together define a third pattern having a pitch that is about a half of the pitch of the second pattern.
  • a masking pattern formed by the methods described above may be used for additional pitch multiplication in combination with a process employing so-called spacers.
  • Figures 9A- 9D illustrate a method of forming a masking pattern, using anti-spacers and spacers in accordance with one embodiment.
  • features forming a masking pattern can have a smaller pitch than the pitches P2 of the second patterns 260. 360, 460, 660, and 860 described above in connection with Figure 31, 4G, 5D, 6E, 7F. and 8E.
  • the target layer 1 10 is provided.
  • the details of the target layer 1 10 can be as described above in connection with Figures 3A, 4A, 5A, 6A, 7A, and 8 A.
  • a first pattern 920 is fo ⁇ ned on the target layer 110.
  • the first pattern 920 may include mandrels 922 and intervening mask features 924.
  • the mandrels 922 and the intervening mask features 924 may be collectively referred to as "'first masking features. "1
  • the mandrels 922 and the intervening mask features 924 can be fo ⁇ ned by the method described above in connection with Figures 3A-3I, Figures 4A-4G. Figures 5A-5D, Figures 6A-6E, Figures 7A-7F, or Figures 8A-8E.
  • one or more hard mask layers can be formed on the target layer 1 10, and the first pattern 920 can be formed on the one or more hard mask layers.
  • the first pattern 920 may correspond to any one of the second patterns 260, 360, 460, 660. and 860 described above in connection with Figure 31, 4G, 5D, 6E, 7F, and 8E.
  • the mandrels 922 have a first pitch Pl therebetween.
  • two neighboring first masking features i.e., a mandrel 922 and a neighboring intervening mask feature 924.
  • the first masking features 922. 924 in the first pattern 920 may be trimmed or shrunk by an isotropic etching process to increase the distance between neighboring features.
  • a layer 930 of spacer material may be blanket-deposited conformally over exposed surfaces, including the target layer 110 and the tops and sidewalls of the first masking features 922, 924.
  • the spacer material can be any material capable of use as a mask to transfer a pattern to the underlying target layer 110.
  • the spacer material preferably: 1) can be deposited with good step coverage, 2) can be deposited at a temperature compatible with the target layer 1 10 and 3) can be selectively etched relative to the target layer 1 10.
  • the spacer material 930 is silicon oxide.
  • the spacer material may be polysilicon or a low temperature oxide (LTO).
  • the spacer material may be deposited by any suitable method, including, but not limited to. chemical vapor deposition (CVD). atomic layer deposition (ALD), spin- coating, or casting. ALD may have the advantages of both low temperature deposition and high conformality.
  • the thickness of the layer 930 corresponds to the width of the spacers 935 and may be determined based upon the desired width of those spacers 935 ( Figure 9C). For example, in some embodiments, the layer 930 may be deposited to a thickness of about 20-80 nm and, optionally, about 40-60 nm. In some embodiments, the step coverage is about 80% or greater and, optionally, about 90% or greater.
  • the spacer material may be one of a class of materials available from Clariant International, Ltd. (so-called "AZ R” materials), such as the materials designated as AZ R200TM, AZ R500TM, and AZ R600 1 M .
  • the spacer material may be an "AZ R" material with one or more inorganic components (e.g.. one or more of titanium, carbon, fluorine, bromine, silicon, and germanium) dispersed therein.
  • the "AZ R " materials contain organic compositions which cross-link upon exposure to acid released from chemically-amplified resist.
  • an "AZ R" material may be coated across photoresist, and subsequently the resist may be baked at a temperature of about 100 0 C to about 120 0 C to diffuse acid from the resist and into the "AZ R " material to fo ⁇ n chemical cross-links within regions of the material proximate the resist. Portions of the material adjacent the resist are thus selectively hardened relative to other portions of material in which acids have not diffused. The material may then be exposed to conditions which selectively remove the non-hardened portions relative to the hardened portions. Such removal may be accomplished using, for example, 10% isopropyl alcohol in the ionized water, or a solution marketed as "'SOLUTION cTM " by Clariant International, Ltd.
  • the spacer layer 930 is then subjected to an anisotropic etch to remove spacer material from horizontal surfaces 912 of the target layer 1 10 and the first masking features 922, 924.
  • an etch also known as a spacer etch, can be performed on the silicon oxide material, using a fluorocarbon plasma, e.g., containing CF 4 /CHF 3 , C 4 FsZCH 2 F 2 or CHF 3 /Ar plasma.
  • the etchants are chosen to be selective for the spacer material relative to the target layer 1 10.
  • the first masking features 922, 924 are removed to leave freestanding spacers 935.
  • the first masking features 922, 924 may be removed by an oxygen-containing plasma etch, such as an etch using HBr/O 2 /N 2 and
  • the spacers 935 fonn a second pattern 950 having a third pitch P3.
  • the third pitch P3 is roughly half of the second pitch P2 between neighboring first masking features 922, 924 in the first pattern 920.
  • first pitch Pl is about 200 nm
  • spacers 935 having a pitch of about 50 run or less can be formed.
  • the second pattern 950 provided by the spacers 935 is transferred into the target layer 1 10 (not shown).
  • the pattern transfer can be performed using any suitable etch process selective for the target layer 1 10 relative to the spacers 935. Other details of this step can be as described above with reference to Figure 3K or 4H.
  • the target layer 1 10 may be further processed to form complete IC devices.
  • three dimensional structures can be formed by the methods described The three dimensional structures can include, but are not limited to, lines, trenches, vias, pillars, posts, troughs, and moats.
  • Figures 10A-12C illustrate a method of forming an array of isolated trenches or vias in a target layer, using anti-spacers in accordance with some embodiments.
  • mandrels 1020 extending in the y- direction are fo ⁇ ned by, for example, depositing and patterning a first resist layer on the target layer 1 10, as described above in connection with Figure 3C.
  • a second resist layer 1040 is fo ⁇ ned over the mandrels 1020 and the target layer 1 10.
  • First anti-spacers 1050 extending in the y-direction are formed around and on top of the mandrels 1020, thereby defining intervening mask features 1048 extending in the y-direction.
  • the details of these steps can be as described above in connection with Figures 3D-3G.
  • mandrels 1 120 extending in the x-direction are formed by depositing and patterning a third resist layer on the second resist layer 1040 in the manner described above in connection with Figure 3C.
  • a fourth resist layer 1 140 is formed over the mandrels 1 120 and the second resist layer 1040.
  • Second anti-spacers 1 150 extending in the x-direction are formed around and on top of the mandrels 1120, thereby defining intervening mask features 1 148 extending in the x-direction. The details of this process can be as described above in connection with Figures 3D-3G.
  • the structure shown in Figures 1 IA-1 1C is subjected to development using a suitable developer.
  • the developer removes the second anti-spacers 1 150, thereby exposing parts of the first anti-spacers 1050 and the intervening mask features 1048.
  • the developer further removes the exposed parts of the first anti-spacers 1050, thereby creating an array of holes 1 160 defined by the features 1020, 1048, 1 120, 1 148, as shown in Figures 12A and 12B.
  • the holes 1005 can be filled with a mate ⁇ al (e g , a dielectric material, a conductive material, or a semiconductor) such that structures formed m the holes 1005 can serve as posts or pillars in a resulting electronic circuit
  • a mate ⁇ al e g , a dielectric material, a conductive material, or a semiconductor
  • the method described above may be adapted for forming isolated holes, e g , contact vias or trenches, depending on the design of the electronic circuit
  • the mandrels 1020 and the intervening mask features 1048 extending m the y-direction can be formed by any of the methods desc ⁇ bed above in connection with Figures 3A-3I, Figures 4A-4G, Figures 5A-5D, Figures 6A-6E, Figures 7A-7F, or Figures 8A-8E
  • the mandrels 1 120 and the intervening mask features 1 148 extending in the x-direction can be fo ⁇ ned over the mandrels 1020 and the intervening mask features 1048 by any of the methods desc ⁇ bed above in connection with Figures 3A-3I.
  • a freeze step is required after forming the mandrels 1020 and the intervening mask features 1048 and before forming the mandrels 1 120 and the intervening mask features 1 148 In other embodiments, no freeze step is required after forming the mandrels 1020 and the intervening mask features 1048 and before fo ⁇ mng the mandrels 1 120 and the intervening mask features 1 148
  • Figures 13A-15B illustrate a method of forming an array of pillars or posts in a target layer using anti-spacers
  • mandrels 1320 extending in the y-direction are formed by depositing and patterning a first resist layer on the target layer 1 10, as desc ⁇ bed above in connection with Figure 3C
  • Intervening mask features 1348 extending in the y-direction and alternating with the mandrels 1320 are fomied by forming and removing anti-spacers as descnbed above in connection with Figures 3D-3I
  • a pattern defined by the mandrels 1320 and intervening mask features 1348 is transferred into the target layer HO m the manner desc ⁇ bed above in connection with Figure 3K
  • Exposed portions of the target layer 1 10 are etched, as shown in Figure 13B, defining elongated trenches or troughs 1302 alternating with elongated mesas 1301 (
  • the deposition of the third resist layer wipes the pattern formed by the mandrels 1320 and the intervening mask features 1348 (the deposited resist blends with the existing resist).
  • intervening mask features 1448 extending in the x-direction and alternating with the mandrels 1420 are formed by fo ⁇ ning and removing anti-spacers in the manner described above in connection with Figures 3D-31.
  • a pattern defined by the mandrels 1420 and the intervening mask features 1448 is transferred into the target layer 1 10, as shown in Figures 15A and 15B. in the manner described above in connection with Figure 3K.
  • Exposed portions of the elongated trenches or troughs 1302 of the target layer 110 are etched, as shown in Figure 15A. defining isolated holes or vias 1303 in the target layer 110.
  • exposed portions of the mesas 1301 of the target layer 1 10 are etched, defining pillars or posts 1305, as shown in Figure 15B.
  • a resulting structure in the target layer 1 10, which includes an array of isolated pillars or posts 1305 and isolated holes 1303, is shown in Figure 15C.
  • the mandrels 1320 and the intervening mask features 1348 extending in the y-direction can be fo ⁇ ned by any of the methods described above in connection with Figures 3A-3I, Figures 4A-4G, Figures 5A-5D, Figures 6A-6E, Figures 7A-7F, or Figures 8A-8E.
  • the mandrels 1420 and the intervening mask features 1448 extending in the x-direction can be fo ⁇ ned over the mandrels 1320 and the intervening mask features 1348 by any of the methods described above in connection with Figures 3A-3I, Figures 4A-4G, Figures 5A-5D, Figures 6A-6E, Figures 7A-7F, or Figures 8A-8E.
  • Electronic devices such as IC devices, typically include a plurality of conductive lines (for example, interconnects) and landing contact pads that electrically connect the conductive lines to other levels in the IC.
  • the "landing contact pads " may also be referred to as “landing pads " or "contact tabs.”
  • the conductive lines typically have a width narrower than the widths of the landing pads.
  • a conventional pitch multiplication process using spacers allows formation of conductive lines having a narrower line-width than that allowed by an available photolithographic process.
  • spacers can only provide features having such a narrow line-width, it can be difficult to form larger width landing pads using spacers.
  • a process involving anti-spacers may be used to simultaneously form conductive lines and landing pads integrated with the conductive lines. Such a process can provide a single masking pattern for fonning pitch-multiplied conductive lines as well as landing pads wider than the conductive lines.
  • FIGS 16A-16C illustrate a method of forming conductive lines and landing pads in an electronic device (for example, an IC circuit), using anti-spacers in accordance with some embodiments.
  • mandrels 1620 are formed over a target layer 1 10 that is formed of a conductive material, such as copper, gold, silver, or an alloy thereof.
  • Each of the mandrels 1620 may include a line mask feature 1622 that has a first width LWl, and a landing pad mask feature 1624 that has a second width LW2.
  • the landing pad mask feature 1624 is connected to one end of the line mask feature 1622.
  • the line mask features 1622 of the mandrels 1620 extend parallel to one another. In other embodiments, the configurations of the line mask features 1622 of the mandrels 1620 can vary, depending on the design of the electronic device formed by the method.
  • the second width LW2 can be selected, depending on the size of a landing pad to be formed in the target layer 1 10, and is greater than the first width LWl . In one embodiment, the second width LW2 is about 0.5 to about 5 times greater than the first width LWl .
  • the illustrated landing pad mask feature 1624 has a substantially circular shape, but the skilled artisan will appreciate the landing pad mask feature 1624 can have various other shapes such as a square shape, a rectangular shape, an oval shape, or the like, depending on the desired shape of the landing pad.
  • the mandrels 1620 can be formed as described above in connection with Figures 3A-3C.
  • intervening mask features 1630 are formed between two neighboring ones of the mandrels 1620 by forming and removing anti-spacers (not shown).
  • Each of the intervening mask features 1630 may include a line mask feature 1632 that has a third width LW3, and a landing pad mask feature 1634 that has a fourth width LW4
  • the line mask features 1632 of the intervening mask features 1630 extend parallel to one another and to the line mask featuies 1622 of the mandrels 1620
  • the third width LW3 is substantially the same as the first width LWl.
  • the fourth width LW4 is substantially the same as the second width LW2
  • the thud width LW3 can be different from the first width LWl
  • the fourth width LW4 can be different fiom the second width LW2
  • the intervening mask features 1630 can be formed as descnbed above in connection with Figures 3D-3I
  • mandrels 1620 and the intervening mask features 1630 can be formed by any of the methods descnbed above m connection with Figures 4A-4G. Figuies 5A-5D Figures 6A-6E, Figuies 7A-7F, or Figures 8A-8E
  • a cut mask 1650 is provided over the structure of Figure 16B
  • the cut mask 1650 includes an opening 1652 that exposes parts of the landing pad mask features 1634 of the intervening mask features 1630 (and optionally end parts of the landing pad mask featuies 1624 of the mandrels 1620) while blocking the other portions of the features 1620.
  • the opening 1652 is shaped such that the landing pad mask features 1634 of the intervening mask features 1630 are electrically separated from one another by a subsequent etch process
  • the exposed parts of the landing pad mask features 1624, 1634 of the features 1620, 1630 are removed by any suitable etch piocess that can remove the materials of the landing pad mask features selectively relative to the target layer 1 10
  • a pattern formed by the features 1620, 1630 shown in Figure 16B is first transferred into the target layer 1 10, and then landing pads are defined by another etch step so as to be electrically isolated from one another
  • the landing pads can be formed simultaneously with conductive lines, thus eliminating separate steps for defining and connecting the landing pads to conductive lines.
  • the pitch of the conductive lines can be reduced at least to the same extent as in a conventional pitch multiplication process using spacers. While the embodiments above were described in connection with forming conductive lines and landing pads, the skilled artisan will appreciate that the embodiments can be adapted for forming various other structures or parts of electronic devices where different shapes or sizes of features are formed simultaneously.
  • electronic devices such as arrays in ICs, can be made by the methods described above.
  • the electronic devices may also include a system including a microprocessor and/or a memory device, each of which includes features arranged in an array.
  • a system may be a computer system, an electronic system, or an electromechanical system.
  • Examples of electronic devices include, but are not limited to, consumer electronic products, electronic circuits, electronic circuit components, parts of the consumer electronic products, electronic test equipments, etc.
  • the consumer electronic products may include, but are not limited to, a mobile phone, a telephone, a television, a computer monitor, a computer, a hand-held computer, a personal digital assistant (PDA), a microwave, a refrigerator, a stereo system, a cassette recorder or player, a DVD player, a CD player, a VCR, an MP3 player, a radio, a camcorder, a camera, a digital camera, a portable memory chip, a washer, a dryer, a washer/dryer, a copier, a facsimile machine, a scanner, a multi functional peripheral device, a wrist watch, a clock, etc.
  • the electronic device may include unfinished intermediate products.
  • a method of forming features in an electronic device includes forming mandrels defining a first pattern in a first masking layer on one or more underlying layers comprising a target layer.
  • the first pattern includes spaces between the mandrels, and has a first pitch.
  • the method also includes depositing a second masking layer to at least partly fill the spaces of the first pattern.
  • the second masking layer contacts the one or more underlying layers through the spaces between the mandrels.
  • the method further includes forming sacrificial structures to define gaps between at least parts of the mandrels and at least parts of the second masking layer; and after depositing the second masking layer and forming the sacrificial structures, removing the sacrificial structures to define a second pattern having a second pitch smaller than the first pitch.
  • the second pattern includes the at least parts of the mandrels and intervening mask features alternating with the at least parts of the mandrels.
  • a method of forming features in an electronic device includes photolithographic ally fo ⁇ ning mandrels defining a first pattern in a first masking layer over a target layer.
  • the first pattern includes spaces between the mandrels, and has a first pitch.
  • the method also includes depositing a second masking layer to at least partially fill the spaces of the first pattern; fo ⁇ ning sacrificial structures to define gaps between at least parts of the mandrels and at least parts of the second masking layer; and after depositing the second masking layer and forming the sacrificial structures, removing the sacrificial structures to define a second pattern having a second pitch smaller than the first pitch.
  • the second pattern includes the at least parts of the mandrels and intervening mask features alternating with the at least parts of the mandrels.
  • a method of forming an integrated circuit includes fo ⁇ ning a first pattern comprising first lines extending substantially parallel to one another in a first direction over a target layer.
  • Forming the first pattern includes: providing first mandrels in a first masking layer over the target layer, the first mandrels having spaces therebetween; depositing a second masking layer to at least partially fill the spaces between the first mandrels; and forming first sacrificial structures to define gaps between at least parts of the first mandrels and at least parts of the second masking layer.
  • the method also includes fomiing a second pattern comprising second lines extending substantially parallel to one another in a second direction over the first pattern, the second direction being different from the first direction.
  • Forming the second pattern includes: providing second mandrels in a third masking layer over the second masking layer, the second mandrels having spaces therebetween; depositing a fourth masking layer to at least partially fill the spaces between the second mandrels; and forming second sacrificial structures to define gaps between at least parts of the second mandrels and at least parts of the fourth masking layer.
  • the method further includes: removing the first sacrificial structures; removing the second sacrificial structures; and etching the target layer through the first pattern, the second pattern, or a combination of the first and second patterns.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
PCT/US2009/063650 2008-11-24 2009-11-06 Methods of forming a masking pattern for integrated circuits WO2010059441A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP09828009.2A EP2353172A4 (de) 2008-11-24 2009-11-06 Verfahren zur bildung einer verbergungsstruktur für integrierte schaltkreise
CN200980146743.7A CN102224569B (zh) 2008-11-24 2009-11-06 形成用于集成电路的掩蔽图案的方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11752608P 2008-11-24 2008-11-24
US61/117,526 2008-11-24
US12/546,466 US8492282B2 (en) 2008-11-24 2009-08-24 Methods of forming a masking pattern for integrated circuits
US12/546,466 2009-08-24

Publications (2)

Publication Number Publication Date
WO2010059441A2 true WO2010059441A2 (en) 2010-05-27
WO2010059441A3 WO2010059441A3 (en) 2010-09-02

Family

ID=42196709

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/063650 WO2010059441A2 (en) 2008-11-24 2009-11-06 Methods of forming a masking pattern for integrated circuits

Country Status (6)

Country Link
US (2) US8492282B2 (de)
EP (1) EP2353172A4 (de)
KR (1) KR101571922B1 (de)
CN (1) CN102224569B (de)
TW (1) TWI498940B (de)
WO (1) WO2010059441A2 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102866578A (zh) * 2011-07-06 2013-01-09 中芯国际集成电路制造(上海)有限公司 光刻方法
WO2020102353A1 (en) * 2018-11-13 2020-05-22 Tokyo Electron Limited Method for forming and using stress-tuned silicon oxide films in semiconductor device patterning

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7335536B2 (en) * 2005-09-01 2008-02-26 Texas Instruments Incorporated Method for fabricating low resistance, low inductance interconnections in high current semiconductor devices
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) * 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US9640396B2 (en) * 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US20100183851A1 (en) * 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8940475B2 (en) * 2010-11-23 2015-01-27 Tokyo Electron Limited Double patterning with inline critical dimension slimming
KR101195267B1 (ko) 2010-12-29 2012-11-14 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
KR20130015429A (ko) * 2011-08-03 2013-02-14 삼성전자주식회사 에치-백 공정을 이용한 패턴 형성 방법
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
CN103390544B (zh) * 2012-05-11 2016-03-30 中芯国际集成电路制造(上海)有限公司 用于形成硬掩膜层的方法
US9291907B2 (en) 2012-05-18 2016-03-22 Micron Technology, Inc. Methods for forming resist features and arrays of aligned, elongate resist features
US8815752B2 (en) 2012-11-28 2014-08-26 Micron Technology, Inc. Methods of forming features in semiconductor device structures
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US20140054756A1 (en) * 2012-08-23 2014-02-27 Michael Hyatt Anti spacer process and semiconductor structure generated by the anti spacer process
KR20140029050A (ko) 2012-08-31 2014-03-10 삼성전자주식회사 패턴 형성 방법
US9111857B2 (en) * 2012-09-21 2015-08-18 Micron Technology, Inc. Method, system and device for recessed contact in memory array
US8829617B2 (en) 2012-11-30 2014-09-09 International Business Machines Corporation Uniform finFET gate height
US8928057B2 (en) * 2012-11-30 2015-01-06 International Business Machines Corporation Uniform finFET gate height
CN103928392B (zh) * 2013-01-10 2017-05-17 中芯国际集成电路制造(上海)有限公司 局部互连结构的制作方法
US9213239B2 (en) 2013-01-22 2015-12-15 Micron Technology, Inc. Methods of forming patterns for semiconductor device structures
US9263279B2 (en) 2013-04-17 2016-02-16 Qualcomm Incorporated Combining cut mask lithography and conventional lithography to achieve sub-threshold pattern features
CN103309151B (zh) * 2013-05-23 2015-06-24 上海华力微电子有限公司 光刻胶的处理方法以及半导体器件的制备方法
US9583381B2 (en) * 2013-06-14 2017-02-28 Micron Technology, Inc. Methods for forming semiconductor devices and semiconductor device structures
US9040423B2 (en) * 2013-07-17 2015-05-26 United Microelectronics Corp. Method for manufacturing semiconductor device
US20150035064A1 (en) * 2013-08-01 2015-02-05 International Business Machines Corporation Inverse side-wall image transfer
US9204538B2 (en) 2013-08-16 2015-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fine line space resolution lithography for integrated circuit features using double patterning technology
US9564361B2 (en) * 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US8969199B1 (en) * 2013-10-15 2015-03-03 Globalfoundries Inc. Methods of forming a circuit that includes a cross-coupling gate contact structure wherein the circuit is to be manufactured using a triple patterning process
US9159579B2 (en) 2013-10-25 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US9653319B2 (en) * 2013-11-08 2017-05-16 Tokyo Electron Limited Method for using post-processing methods for accelerating EUV lithography
US9209076B2 (en) 2013-11-22 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
US9129814B2 (en) * 2013-11-25 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
WO2015106261A1 (en) 2014-01-13 2015-07-16 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9240329B2 (en) * 2014-02-23 2016-01-19 Tokyo Electron Limited Method for multiplying pattern density by crossing multiple patterned layers
US9306165B2 (en) * 2014-03-27 2016-04-05 Micron Technology, Inc. Replacement materials processes for forming cross point memory
WO2015157416A1 (en) * 2014-04-10 2015-10-15 Tokyo Electron Limited Method for patterning contact openings on a substrate
US9786633B2 (en) 2014-04-23 2017-10-10 Massachusetts Institute Of Technology Interconnect structures for fine pitch assembly of semiconductor structures and related techniques
US9362169B2 (en) 2014-05-01 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned semiconductor fabrication with fosse features
WO2016007303A1 (en) * 2014-07-08 2016-01-14 Tokyo Electron Limited Negative tone developer compatible photoresist composition and methods of use
WO2016073049A1 (en) 2014-08-11 2016-05-12 Massachusetts Institute Of Technology Semiconductor structures for assembly in multi-layer semiconductor devices including at least one semiconductor structure
US9443716B2 (en) * 2014-10-08 2016-09-13 Applied Materials, Inc. Precise critical dimension control using bilayer ALD
WO2016118209A2 (en) 2014-11-05 2016-07-28 Massachusetts Institute Of Technology Multi-layer semiconductor devices fabricated using a combination of substrate and via structures and fabrication techniques
US9646845B2 (en) * 2014-12-19 2017-05-09 Tokyo Electron Limited Method of forming a mask for substrate patterning
WO2016106092A1 (en) * 2014-12-22 2016-06-30 Tokyo Electron Limited Patterning a substrate using grafting polymer material
WO2016134309A1 (en) * 2015-02-21 2016-08-25 Tokyo Electron Limited Method for patterning incorporating misalignment error protection
US9852923B2 (en) * 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
US10170354B2 (en) * 2015-04-12 2019-01-01 Tokyo Electron Limited Subtractive methods for creating dielectric isolation structures within open features
CN104900503B (zh) * 2015-04-28 2018-05-01 厦门市三安集成电路有限公司 一种高离子迁移率晶体管的t型栅的制作方法
US10134972B2 (en) 2015-07-23 2018-11-20 Massachusetts Institute Of Technology Qubit and coupler circuit structures and coupling techniques
WO2017015432A1 (en) 2015-07-23 2017-01-26 Massachusetts Institute Of Technology Superconducting integrated circuit
US9857679B2 (en) * 2015-08-21 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and fabricating the same
KR102250656B1 (ko) * 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR102323660B1 (ko) * 2015-10-13 2021-11-08 삼성전자주식회사 반도체 소자 제조 방법
US10242968B2 (en) 2015-11-05 2019-03-26 Massachusetts Institute Of Technology Interconnect structure and semiconductor structures for assembly of cryogenic electronic packages
US10396269B2 (en) 2015-11-05 2019-08-27 Massachusetts Institute Of Technology Interconnect structures for assembly of semiconductor structures including superconducting integrated circuits
KR102398664B1 (ko) * 2016-01-26 2022-05-16 삼성전자주식회사 반도체 소자의 제조 방법
KR102471620B1 (ko) 2016-02-22 2022-11-29 에스케이하이닉스 주식회사 패턴 형성 방법 및 그를 이용한 반도체장치 제조 방법
US9911611B2 (en) * 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
TWI665715B (zh) * 2016-04-29 2019-07-11 東京威力科創股份有限公司 使用具有多種材料之一層的基板圖案化方法
US10366890B2 (en) * 2016-05-23 2019-07-30 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9947548B2 (en) * 2016-08-09 2018-04-17 International Business Machines Corporation Self-aligned single dummy fin cut with tight pitch
US10586909B2 (en) 2016-10-11 2020-03-10 Massachusetts Institute Of Technology Cryogenic electronic packages and assemblies
US10199265B2 (en) 2017-02-10 2019-02-05 Globalfoundries Inc. Variable space mandrel cut for self aligned double patterning
KR20180093798A (ko) 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10217633B2 (en) * 2017-03-13 2019-02-26 Globalfoundries Inc. Substantially defect-free polysilicon gate arrays
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
US10147611B1 (en) 2017-08-28 2018-12-04 Nanya Technology Corporation Method for preparing semiconductor structures
CN109427686B (zh) 2017-08-29 2021-04-13 联华电子股份有限公司 隔离结构及其形成方法
DE102017128070B4 (de) 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
US10475700B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Etching to reduce line wiggling
KR102630349B1 (ko) 2018-01-30 2024-01-29 램 리써치 코포레이션 패터닝에서 주석 옥사이드 맨드렐들 (mandrels)
US11987876B2 (en) 2018-03-19 2024-05-21 Lam Research Corporation Chamfer-less via integration scheme
US10475648B1 (en) 2018-05-01 2019-11-12 United Microelectronics Corp. Method for patterning a semiconductor structure
US10490447B1 (en) 2018-05-25 2019-11-26 International Business Machines Corporation Airgap formation in BEOL interconnect structure using sidewall image transfer
KR20200011174A (ko) * 2018-07-24 2020-02-03 에스케이하이닉스 주식회사 대칭형 구조를 갖는 전도성 패턴들을 갖는 반도체 소자
CN110707005B (zh) 2018-08-03 2022-02-18 联华电子股份有限公司 半导体装置及其形成方法
US10522395B1 (en) 2018-08-21 2019-12-31 Micron Technology, Inc. Methods of forming a pattern
US10903082B2 (en) * 2018-09-21 2021-01-26 Varian Semiconductor Equipment Associates, Inc. Spacer sculpting for forming semiconductor devices
US11501969B2 (en) 2019-01-22 2022-11-15 International Business Machines Corporation Direct extreme ultraviolet lithography on hard mask with reverse tone
KR102444014B1 (ko) 2019-02-05 2022-09-15 가부시키가이샤 도쿠야마 실리콘 에칭액 및 상기 에칭액을 이용한 실리콘 디바이스의 제조방법
WO2020263757A1 (en) 2019-06-27 2020-12-30 Lam Research Corporation Alternating etch and passivation process
CN114585969A (zh) * 2019-09-19 2022-06-03 东京毅力科创株式会社 形成窄沟槽的方法
US11747733B2 (en) * 2021-01-08 2023-09-05 Tokyo Electron Limited Freeze-less methods for self-aligned double patterning
US20220291586A1 (en) * 2021-03-10 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Underlayer composition and method of manufacturing a semiconductor device
KR20240016336A (ko) * 2021-06-02 2024-02-06 도쿄엘렉트론가부시키가이샤 이중층 시스템을 사용한 향상된 무동결 안티-스페이서 형성을 통해 재료 오버버든을 제거하는 방법
CN117941029A (zh) * 2021-08-25 2024-04-26 杰米纳蒂奥公司 基于反间隔件的自对准高阶图案化
TW202347024A (zh) * 2022-02-10 2023-12-01 日商東京威力科創股份有限公司 經由染料擴散的選擇性去保護
US20230260799A1 (en) * 2022-02-11 2023-08-17 Nanya Technology Corporation Method for preparing semiconductor device structure with energy removable spacers
US20240085795A1 (en) * 2022-09-13 2024-03-14 Tokyo Electron Limited Patterning a semiconductor workpiece
US20240168384A1 (en) * 2022-11-22 2024-05-23 Tokyo Electron Limited Patterning Semiconductor Features

Family Cites Families (212)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5229344A (en) 1975-08-30 1977-03-05 Brother Ind Ltd Machine with automatic thread cutter
US4234362A (en) 1978-11-03 1980-11-18 International Business Machines Corporation Method for forming an insulator between layers of conductive material
US4508579A (en) * 1981-03-30 1985-04-02 International Business Machines Corporation Lateral device structures using self-aligned fabrication techniques
US4432132A (en) * 1981-12-07 1984-02-21 Bell Telephone Laboratories, Incorporated Formation of sidewall oxide layers by reactive oxygen ion etching to define submicron features
US4419809A (en) 1981-12-30 1983-12-13 International Business Machines Corporation Fabrication process of sub-micrometer channel length MOSFETs
DE3242113A1 (de) * 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren zur herstellung einer duennen dielektrischen isolation in einem siliciumhalbleiterkoerper
US4716131A (en) 1983-11-28 1987-12-29 Nec Corporation Method of manufacturing semiconductor device having polycrystalline silicon layer with metal silicide film
US4648937A (en) * 1985-10-30 1987-03-10 International Business Machines Corporation Method of preventing asymmetric etching of lines in sub-micrometer range sidewall images transfer
GB8528967D0 (en) 1985-11-25 1986-01-02 Plessey Co Plc Semiconductor device manufacture
EP0238690B1 (de) 1986-03-27 1991-11-06 International Business Machines Corporation Verfahren zur Herstellung von Seitenstrukturen
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS6435916U (de) 1987-08-28 1989-03-03
US4838991A (en) * 1987-10-30 1989-06-13 International Business Machines Corporation Process for defining organic sidewall structures
US4776922A (en) 1987-10-30 1988-10-11 International Business Machines Corporation Formation of variable-width sidewall structures
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) * 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5053105A (en) 1990-07-19 1991-10-01 Micron Technology, Inc. Process for creating an etch mask suitable for deep plasma etches employing self-aligned silicidation of a metal layer masked with a silicon dioxide template
DE4034612A1 (de) * 1990-10-31 1992-05-07 Huels Chemische Werke Ag Verfahren zur herstellung von methacryloxy- oder acryloxygruppen enthaltenden organosilanen
IT1243919B (it) 1990-11-20 1994-06-28 Cons Ric Microelettronica Procedimento per l'ottenimento di solchi submicrometrici planarizzati in circuiti integrati realizzati con tecnologia ulsi
JPH05343370A (ja) 1992-06-10 1993-12-24 Toshiba Corp 微細パタ−ンの形成方法
US5330879A (en) 1992-07-16 1994-07-19 Micron Technology, Inc. Method for fabrication of close-tolerance lines and sharp emission tips on a semiconductor wafer
DE4236609A1 (de) 1992-10-29 1994-05-05 Siemens Ag Verfahren zur Erzeugung einer Struktur in der Oberfläche eines Substrats
US5407785A (en) 1992-12-18 1995-04-18 Vlsi Technology, Inc. Method for generating dense lines on a semiconductor wafer using phase-shifting and multiple exposures
US5470661A (en) 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US6042998A (en) * 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR970007173B1 (ko) * 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
JPH0855920A (ja) 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5600153A (en) 1994-10-07 1997-02-04 Micron Technology, Inc. Conductive polysilicon lines and thin film transistors
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US5795830A (en) 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
KR100190757B1 (ko) * 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
JP3393286B2 (ja) * 1995-09-08 2003-04-07 ソニー株式会社 パターンの形成方法
US5789320A (en) 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
TW329539B (en) * 1996-07-05 1998-04-11 Mitsubishi Electric Corp The semiconductor device and its manufacturing method
JP3164026B2 (ja) * 1996-08-21 2001-05-08 日本電気株式会社 半導体装置及びその製造方法
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
US6395613B1 (en) * 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5895740A (en) 1996-11-13 1999-04-20 Vanguard International Semiconductor Corp. Method of forming contact holes of reduced dimensions by using in-situ formed polymeric sidewall spacers
KR100231134B1 (ko) 1997-06-14 1999-11-15 문정환 반도체장치의 배선 형성 방법
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) * 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6143476A (en) 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6004862A (en) 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
JP2975917B2 (ja) * 1998-02-06 1999-11-10 株式会社半導体プロセス研究所 半導体装置の製造方法及び半導体装置の製造装置
US5933725A (en) * 1998-05-27 1999-08-03 Vanguard International Semiconductor Corporation Word line resistance reduction method and design for high density memory with relaxed metal pitch
US6020255A (en) * 1998-07-13 2000-02-01 Taiwan Semiconductor Manufacturing Company Dual damascene interconnect process with borderless contact
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
US6071789A (en) * 1998-11-10 2000-06-06 Vanguard International Semiconductor Corporation Method for simultaneously fabricating a DRAM capacitor and metal interconnections
US6204187B1 (en) 1999-01-06 2001-03-20 Infineon Technologies North America, Corp. Contact and deep trench patterning
US6211044B1 (en) * 1999-04-12 2001-04-03 Advanced Micro Devices Process for fabricating a semiconductor device component using a selective silicidation reaction
JP2000307084A (ja) 1999-04-23 2000-11-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6136662A (en) 1999-05-13 2000-10-24 Lsi Logic Corporation Semiconductor wafer having a layer-to-layer alignment mark and method for fabricating the same
JP2000357736A (ja) 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
JP2001077196A (ja) * 1999-09-08 2001-03-23 Sony Corp 半導体装置の製造方法
US6239008B1 (en) * 1999-09-29 2001-05-29 Advanced Micro Devices, Inc. Method of making a density multiplier for semiconductor device manufacturing
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
US6582891B1 (en) * 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6967140B2 (en) * 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
US6737214B2 (en) * 2000-03-09 2004-05-18 Shin-Etsu Chemical Co., Ltd. Chemical amplification resist compositions
US6297554B1 (en) 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
JP3805603B2 (ja) 2000-05-29 2006-08-02 富士通株式会社 半導体装置及びその製造方法
US6632741B1 (en) 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
US6455372B1 (en) 2000-08-14 2002-09-24 Micron Technology, Inc. Nucleation for improved flash erase characteristics
US6348380B1 (en) * 2000-08-25 2002-02-19 Micron Technology, Inc. Use of dilute steam ambient for improvement of flash devices
SE517275C2 (sv) * 2000-09-20 2002-05-21 Obducat Ab Sätt vid våtetsning av ett substrat
US6335257B1 (en) * 2000-09-29 2002-01-01 Vanguard International Semiconductor Corporation Method of making pillar-type structure on semiconductor substrate
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
US6534243B1 (en) * 2000-10-23 2003-03-18 Advanced Micro Devices, Inc. Chemical feature doubling process
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
US6664028B2 (en) * 2000-12-04 2003-12-16 United Microelectronics Corp. Method of forming opening in wafer layer
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6740594B2 (en) 2001-05-31 2004-05-25 Infineon Technologies Ag Method for removing carbon-containing polysilane from a semiconductor without stripping
US6960806B2 (en) 2001-06-21 2005-11-01 International Business Machines Corporation Double gated vertical transistor with different first and second gate materials
US6522584B1 (en) * 2001-08-02 2003-02-18 Micron Technology, Inc. Programming methods for multi-level flash EEPROMs
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
TW497138B (en) * 2001-08-28 2002-08-01 Winbond Electronics Corp Method for improving consistency of critical dimension
DE10142590A1 (de) * 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
JP2003133437A (ja) * 2001-10-24 2003-05-09 Hitachi Ltd 半導体装置の製造方法および半導体装置
US7226853B2 (en) * 2001-12-26 2007-06-05 Applied Materials, Inc. Method of forming a dual damascene structure utilizing a three layer hard mask structure
TW576864B (en) * 2001-12-28 2004-02-21 Toshiba Corp Method for manufacturing a light-emitting device
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6620715B1 (en) 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
US6759180B2 (en) 2002-04-23 2004-07-06 Hewlett-Packard Development Company, L.P. Method of fabricating sub-lithographic sized line and space patterns for nano-imprinting lithography
US20030207584A1 (en) 2002-05-01 2003-11-06 Swaminathan Sivakumar Patterning tighter and looser pitch geometries
US6951709B2 (en) 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6602779B1 (en) 2002-05-13 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing carbon doped silicon oxide planarizing stop layer
US6703312B2 (en) 2002-05-17 2004-03-09 International Business Machines Corporation Method of forming active devices of different gatelengths using lithographic printed gate images of same length
US6818141B1 (en) 2002-06-10 2004-11-16 Advanced Micro Devices, Inc. Application of the CVD bilayer ARC as a hard mask for definition of the subresolution trench features between polysilicon wordlines
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6548385B1 (en) * 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6559017B1 (en) * 2002-06-13 2003-05-06 Advanced Micro Devices, Inc. Method of using amorphous carbon as spacer material in a disposable spacer process
KR100476924B1 (ko) 2002-06-14 2005-03-17 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US6924191B2 (en) * 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
AU2003280498A1 (en) 2002-06-27 2004-01-19 Advanced Micro Devices, Inc. Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6500756B1 (en) 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6689695B1 (en) * 2002-06-28 2004-02-10 Taiwan Semiconductor Manufacturing Company Multi-purpose composite mask for dual damascene patterning
US6835663B2 (en) * 2002-06-28 2004-12-28 Infineon Technologies Ag Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US6913871B2 (en) * 2002-07-23 2005-07-05 Intel Corporation Fabricating sub-resolution structures in planar lightwave devices
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
US6764949B2 (en) * 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6939808B2 (en) * 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6756284B2 (en) * 2002-09-18 2004-06-29 Silicon Storage Technology, Inc. Method for forming a sublithographic opening in a semiconductor process
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
JP4034164B2 (ja) 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
US6888755B2 (en) * 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US7119020B2 (en) * 2002-12-04 2006-10-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US6686245B1 (en) * 2002-12-20 2004-02-03 Motorola, Inc. Vertical MOSFET with asymmetric gate structure
US6916594B2 (en) * 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7015124B1 (en) * 2003-04-28 2006-03-21 Advanced Micro Devices, Inc. Use of amorphous carbon for gate patterning
US6773998B1 (en) 2003-05-20 2004-08-10 Advanced Micro Devices, Inc. Modified film stack and patterning strategy for stress compensation and prevention of pattern distortion in amorphous carbon gate patterning
JP4578785B2 (ja) 2003-05-21 2010-11-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6835662B1 (en) 2003-07-14 2004-12-28 Advanced Micro Devices, Inc. Partially de-coupled core and periphery gate module process
DE10345455A1 (de) 2003-09-30 2005-05-04 Infineon Technologies Ag Verfahren zum Erzeugen einer Hartmaske und Hartmasken-Anordnung
KR100536801B1 (ko) * 2003-10-01 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US6867116B1 (en) * 2003-11-10 2005-03-15 Macronix International Co., Ltd. Fabrication method of sub-resolution pitch for integrated circuits
JP2005150333A (ja) 2003-11-14 2005-06-09 Sony Corp 半導体装置の製造方法
TWI274397B (en) * 2003-11-20 2007-02-21 Winbond Electronics Corp Method for forming narrow trench structure and method for forming gate structure with narrow spacing
KR100554514B1 (ko) * 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US6998332B2 (en) 2004-01-08 2006-02-14 International Business Machines Corporation Method of independent P and N gate length control of FET device made by sidewall image transfer technique
US6875703B1 (en) * 2004-01-20 2005-04-05 International Business Machines Corporation Method for forming quadruple density sidewall image transfer (SIT) structures
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
WO2005094231A2 (en) 2004-03-19 2005-10-13 The Regents Of The University Of California Methods for fabrication of positional and compositionally controlled nanostructures on substrate
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US6955961B1 (en) 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
DE102005026228B4 (de) 2004-06-08 2010-04-15 Samsung Electronics Co., Ltd., Suwon Transistor vom GAA-Typ und Verfahren zu dessen Herstellung
US7183205B2 (en) * 2004-06-08 2007-02-27 Macronix International Co., Ltd. Method of pitch dimension shrinkage
US7473644B2 (en) * 2004-07-01 2009-01-06 Micron Technology, Inc. Method for forming controlled geometry hardmasks including subresolution elements
US7074666B2 (en) * 2004-07-28 2006-07-11 International Business Machines Corporation Borderless contact structures
KR100704470B1 (ko) * 2004-07-29 2007-04-10 주식회사 하이닉스반도체 비결정성 탄소막을 희생 하드마스크로 이용하는반도체소자 제조 방법
US7175944B2 (en) * 2004-08-31 2007-02-13 Micron Technology, Inc. Prevention of photoresist scumming
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
KR100614651B1 (ko) * 2004-10-11 2006-08-22 삼성전자주식회사 회로 패턴의 노광을 위한 장치 및 방법, 사용되는포토마스크 및 그 설계 방법, 그리고 조명계 및 그 구현방법
US7208379B2 (en) * 2004-11-29 2007-04-24 Texas Instruments Incorporated Pitch multiplication process
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
KR100596795B1 (ko) 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US7271107B2 (en) 2005-02-03 2007-09-18 Lam Research Corporation Reduction of feature critical dimensions using multiple masks
KR100787352B1 (ko) 2005-02-23 2007-12-18 주식회사 하이닉스반도체 하드마스크용 조성물 및 이를 이용한 반도체 소자의 패턴형성 방법
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
KR100640639B1 (ko) 2005-04-19 2006-10-31 삼성전자주식회사 미세콘택을 포함하는 반도체소자 및 그 제조방법
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7547599B2 (en) 2005-05-26 2009-06-16 Micron Technology, Inc. Multi-state memory cell
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP2006351861A (ja) 2005-06-16 2006-12-28 Toshiba Corp 半導体装置の製造方法
TW200705541A (en) * 2005-07-25 2007-02-01 Li Bing Huan Manufacturing method of nano-sticker
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8153350B2 (en) * 2005-08-24 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and material for forming high etch resistant double exposure patterns
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7572572B2 (en) * 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7244638B2 (en) * 2005-09-30 2007-07-17 Infineon Technologies Ag Semiconductor memory device and method of production
KR101200938B1 (ko) * 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
KR100714305B1 (ko) * 2005-12-26 2007-05-02 삼성전자주식회사 자기정렬 이중패턴의 형성방법
KR100672123B1 (ko) * 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US20070210449A1 (en) 2006-03-07 2007-09-13 Dirk Caspary Memory device and an array of conductive lines and methods of making the same
US7351666B2 (en) 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7537866B2 (en) * 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7456099B2 (en) * 2006-05-25 2008-11-25 International Business Machines Corporation Method of forming a structure for reducing lateral fringe capacitance in semiconductor devices
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
KR100763538B1 (ko) * 2006-08-29 2007-10-05 삼성전자주식회사 마스크 패턴의 형성 방법 및 이를 이용한 미세 패턴의 형성방법
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7825460B2 (en) * 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100790998B1 (ko) * 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
KR100913005B1 (ko) * 2006-10-31 2009-08-20 주식회사 하이닉스반도체 마스크 패턴 형성 방법
KR20080061651A (ko) * 2006-12-28 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 형성방법
KR100811443B1 (ko) * 2007-02-15 2008-03-07 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성 방법
KR100822622B1 (ko) * 2007-04-20 2008-04-16 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US20080292991A1 (en) * 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7718529B2 (en) * 2007-07-17 2010-05-18 Globalfoundries Inc. Inverse self-aligned spacer lithography
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
JP4973876B2 (ja) * 2007-08-22 2012-07-11 信越化学工業株式会社 パターン形成方法及びこれに用いるパターン表面コート材
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7851135B2 (en) * 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
KR100929302B1 (ko) * 2007-12-26 2009-11-27 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR100942078B1 (ko) * 2007-12-27 2010-02-12 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
KR20090102070A (ko) * 2008-03-25 2009-09-30 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US7713818B2 (en) * 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8409457B2 (en) * 2008-08-29 2013-04-02 Micron Technology, Inc. Methods of forming a photoresist-comprising pattern on a substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102866578A (zh) * 2011-07-06 2013-01-09 中芯国际集成电路制造(上海)有限公司 光刻方法
WO2020102353A1 (en) * 2018-11-13 2020-05-22 Tokyo Electron Limited Method for forming and using stress-tuned silicon oxide films in semiconductor device patterning
US11443953B2 (en) 2018-11-13 2022-09-13 Tokyo Electron Limited Method for forming and using stress-tuned silicon oxide films in semiconductor device patterning

Also Published As

Publication number Publication date
KR20110099274A (ko) 2011-09-07
US20130309871A1 (en) 2013-11-21
CN102224569A (zh) 2011-10-19
US8871646B2 (en) 2014-10-28
KR101571922B1 (ko) 2015-11-25
EP2353172A4 (de) 2015-07-29
TWI498940B (zh) 2015-09-01
WO2010059441A3 (en) 2010-09-02
US20100130016A1 (en) 2010-05-27
TW201030805A (en) 2010-08-16
EP2353172A2 (de) 2011-08-10
CN102224569B (zh) 2014-11-26
US8492282B2 (en) 2013-07-23

Similar Documents

Publication Publication Date Title
US8871646B2 (en) Methods of forming a masking pattern for integrated circuits
US7655387B2 (en) Method to align mask patterns
JP5545524B2 (ja) 効率的なピッチマルチプリケーションプロセス
KR100874196B1 (ko) 마스크 물질 변환
TWI360161B (en) Pitch multiplication using self-assembling materia
US8563229B2 (en) Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
TWI391988B (zh) 利用間距減縮製造裝置之方法及相關結構
WO2007027558A2 (en) Method of forming pitch multipled contacts
JP2008512002A (ja) ピッチ増倍を使用する集積回路の製造方法
WO2011068621A2 (en) Methods of patterning materials, and methods of forming memory cells

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980146743.7

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09828009

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009828009

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20117014742

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE