KR20240016336A - 이중층 시스템을 사용한 향상된 무동결 안티-스페이서 형성을 통해 재료 오버버든을 제거하는 방법 - Google Patents

이중층 시스템을 사용한 향상된 무동결 안티-스페이서 형성을 통해 재료 오버버든을 제거하는 방법 Download PDF

Info

Publication number
KR20240016336A
KR20240016336A KR1020237045120A KR20237045120A KR20240016336A KR 20240016336 A KR20240016336 A KR 20240016336A KR 1020237045120 A KR1020237045120 A KR 1020237045120A KR 20237045120 A KR20237045120 A KR 20237045120A KR 20240016336 A KR20240016336 A KR 20240016336A
Authority
KR
South Korea
Prior art keywords
film
solubility
substrate
polymer
developer
Prior art date
Application number
KR1020237045120A
Other languages
English (en)
Inventor
샬롯 커틀러
마이클 머피
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20240016336A publication Critical patent/KR20240016336A/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/16Surface shaping of articles, e.g. embossing; Apparatus therefor by wave energy or particle radiation, e.g. infrared heating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0025Applying surface layers, e.g. coatings, decorative layers, printed layers, to articles during shaping, e.g. in-mould printing
    • B29C37/0028In-mould coating, e.g. by introducing the coating material into the mould after forming the article
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C59/00Surface shaping of articles, e.g. embossing; Apparatus therefor
    • B29C59/002Component parts, details or accessories; Auxiliary operations
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C71/00After-treatment of articles without altering their shape; Apparatus therefor
    • B29C71/0009After-treatment of articles without altering their shape; Apparatus therefor using liquids, e.g. solvents, swelling agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C71/00After-treatment of articles without altering their shape; Apparatus therefor
    • B29C71/0009After-treatment of articles without altering their shape; Apparatus therefor using liquids, e.g. solvents, swelling agents
    • B29C2071/0027Removing undesirable residual components, e.g. solvents, unreacted monomers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29KINDEXING SCHEME ASSOCIATED WITH SUBCLASSES B29B, B29C OR B29D, RELATING TO MOULDING MATERIALS OR TO MATERIALS FOR MOULDS, REINFORCEMENTS, FILLERS OR PREFORMED PARTS, e.g. INSERTS
    • B29K2995/00Properties of moulding materials, reinforcements, fillers, preformed parts or moulds
    • B29K2995/0037Other properties
    • B29K2995/0059Degradable

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

본원의 기법에는, 중합체 혼합물이 릴리프 패턴 위에 증착될 때 오버버든(overburden) 제거를 촉진하기 위해 이중층 중합체 시스템에서 표면 또는 상부 층 분리를 촉진하는 일부 플루오르화 중합체 또는 장쇄 알킬 작용기를 갖는 중합체에서 발견되는 표면 에너지 차이를 이용하여 기판을 패터닝하는 방법을 포함한다. 이 방법을 사용하면 오버버든을 빠르게 제거하여, 산 확산 및 후속 탈보호 후 현상제에 또한 용해성인 안티-스페이서 영역을 노출시킬 수 있다. 현상제 용해도가 높은 중합체를 상부층의 상단에 통합하면 나머지 중합체가 현상제에서 특정 용해 속도를 갖도록 할 필요가 없다.

Description

이중층 시스템을 사용한 향상된 무동결 안티-스페이서 형성을 통해 재료 오버버든을 제거하는 방법
관련 출원에 대한 상호 참조
본 개시 내용은 2021년 6월 2일자로 출원된 미국 가출원 제63/195,756호의 이익을 주장하며, 그 전체 내용은 본원에 참고로 포함된다.
기술 분야
본 발명은 반도체 제조 방법에 관한 것이며, 특히 이중층 중합체 시스템에서 표면 또는 상부 층 분리를 촉진하는 일부 플루오르화 중합체 또는 장쇄 알킬 작용기를 갖는 중합체에서 발견되는 표면 에너지 차이를 이용하여 구조체 상에 코팅된 오버버든(overburden) 재료 제거를 용이하게 하는 것에 관한 것이다.
본원에 제공된 배경기술에 관한 설명은 본 발명의 맥락을 전반적으로 제시하기 위한 것이다. 본 배경기술 부분에 설명된 정도까지 현재 명명된 발명자의 작업뿐만 아니라 출원 당시에 종래 기술로 인정되지 않을 수 있는 설명의 양상은 본 개시내용에 대한 종래 기술로 명시적으로 또는 묵시적으로 인정되는 것은 아니다.
재료 가공 방법(예컨대, 포토리소그래피)에서, 패터닝된 층을 생성하는 것은 일반적으로 기판의 상측 표면에, 포토레지스트와 같은 방사선-민감성 재료의 박층을 도포하는 것을 수반한다. 이러한 방사선-민감성 재료는, 기판 상의 하부 층 내로 패턴을 전사하거나 에칭하기 위해 사용될 수 있는 패터닝된 마스크로 변환된다. 일반적으로, 방사선-민감성 재료의 패터닝은, 예를 들어 포토리소그래피 노광 시스템을 사용하는, 방사선-민감성 재료 상의 레티클(및 관련 광학계)을 통한 방사선 공급원에 의한 노광을 포함한다. 이러한 노광은, 그 후에 현상될 수 있는 방사선-민감성 재료 내의 잠복 패턴을 생성한다. 현상은, 방사선-민감성 재료의 일부분을 용해 및 제거하여 릴리프 패턴(relief pattern)(토포그래픽 패턴)을 산출하는 것을 지칭한다. 제거되는 재료의 부분은, 사용되는 현상 용매의 유형 및/또는 포토레지스트 톤(tone)에 따라, 방사선-민감성 재료의 조사(irradiated) 구역 또는 비-조사 구역일 수 있다. 그 다음, 릴리프 패턴은, 패턴을 정의하는 마스크 층으로서 기능할 수 있다.
패터닝을 위해 사용되는 다양한 필름의 제조 및 현상은, 열처리 또는 베이킹(baking)을 포함할 수 있다. 예를 들어, 새롭게 도포된 필름은, 용매를 증발시키고/증발시키거나 구조적 강성(rigidity) 또는 에칭 저항을 증가시키기 위해, 도포 후 베이킹(post-application bake: PAB)을 거칠 수 있다. 또한, 추가의 용해를 방지하도록 주어진 패턴을 설정하기 위해, 노광 후 베이킹(post-exposure bake: PEB)이 수행될 수 있다. 기판을 코팅 및 현상하기 위한 제조 도구는, 전형적으로 하나 이상의 베이킹 모듈을 포함한다. 일부 포토리소그래피 공정은 하부 반사방지 코팅(Bottom Anti-reflective Coating: BARC)의 박막으로 기판을 코팅한 후에, 레지스트로 코팅하는 단계, 및 이어서, 마이크로칩을 생성하기 위한 공정 단계로서 기판을 광의 패턴에 노광시키는 단계를 포함한다. 이어서, 생성된 릴리프 패턴은, 하부 층 내로 패턴을 전사하는 단계와 같은 추가적인 가공을 위한 마스크 또는 템플릿으로서 사용될 수 있다.
릴리프 패턴은 최종 스페이서 형성을 위해 트렌치를 채우는 증착된 재료로 덮일 수 있다. 증착된 재료는 최종 스페이서의 치수를 조정하기 위해 추가로 변형될 수 있다. 그러나, 변형된 증착된 재료를 현상하기 위해서는, 변형된 부분에 접근하기 위해 증착된 재료의 오버버든을 제거할 필요가 있을 수 있다. 그러나, 일부 연질 재료는 에칭 또는 화학적 기계적 폴리시 공정을 견딜 수 없다. 따라서, 하부의 재료에 영향을 미치거나 변형된 재료의 치수를 조정하지 않고 오버버든을 제거하는 방법이 필요하다.
본 발명은 기판을 패터닝하는 방법에 관한 것이며, 이 방법은 기판 상의 포토레지스트의 제1 층으로부터 기판 상의 릴리프 구조체를 포함하는 릴리프 패턴을 형성하는 단계로서, 포토레지스트의 제1 층은 제1 용해도-변화제(solubility-shifting agent) 및 제2 용해도-변화제를 포함하고, 포토레지스트는 제1 용해도-변화제 및 제2 용해도-변화제에 대한 감도를 갖고, 릴리프 패턴은 화학 방사선의 패턴을 사용하여 제1 용해도-변화제를 활성화하고 포토레지스트의 제1 층을 현상함으로써 형성되는, 단계; 릴리프 구조체 상에 중합체 혼합물을 증착하는 단계로서, 중합체 혼합물은 릴리프 패턴에 의해 정의되는 개구를 충전하며 릴리프 구조체를 덮고, 릴리프 구조체의 상부 표면 위의 영역은 오버버든 영역이고, 중합체 혼합물은 제1 중합체 및 제2 중합체를 포함하고, 중합체 혼합물은 중력에 대해 자가-분리되어 중합체 혼합물로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 중합체를 포함하는 제2 필름 아래에 형성된 제1 중합체를 포함하고, 제1 필름 및 제2 필름은 제2 필름이 오버버든 영역을 충전하도록 형성되고, 제1 필름은 제2 용해도-변화제에 민감성이며 포토레지스트의 감도 임계치보다 작은 감도 임계치를 갖고, 제2 필름은 제1 현상제에 가용성인, 단계; 제2 용해도-변화제를 활성화하고 제2 용해도-변화제를 릴리프 구조체로부터 제1 필름 내로 미리 결정된 확산 길이로 확산시키는 단계로서, 제2 용해도-변화제는 포토레지스트의 감도 임계치를 충족하지 않고서 제1 필름의 감도 임계치를 충족하도록 충분히 활성화되고, 제1 필름에 형성된 확산 영역은 제1 현상제에 가용성이 되는 반면, 포토레지스트는 제1 현상제에 불용성으로 남아 있는, 단계; 및 기판을 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 포함한다.
추가로 본 발명은 기판을 패터닝하는 방법에 관한 것이며, 이 방법은 기판 상의 포토레지스트의 제1 층으로부터 기판 상의 릴리프 구조체를 포함하는 릴리프 패턴을 형성하는 단계로서, 포토레지스트의 제1 층은 제1 용해도-변화제 및 제2 용해도-변화제를 포함하고, 포토레지스트는 제1 용해도-변화제 및 제2 용해도-변화제에 대한 감도를 갖고, 릴리프 패턴은 화학 방사선의 패턴을 사용하여 제1 용해도-변화제를 활성화하고 포토레지스트의 제1 층을 현상함으로써 형성되는, 단계; 릴리프 구조체 상에 수지 오버코트를 증착하는 단계로서, 수지 오버코트는 릴리프 패턴에 의해 정의되는 개구를 충전하며 릴리프 구조체를 덮고, 릴리프 구조체의 상부 표면 위의 영역은 오버버든 영역이고, 수지 오버코트는 제1 수지 및 제2 수지를 포함하는 수지 혼합물을 갖고, 수지 오버코트는 중력에 대해 자가-분리되어 수지 오버코트로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 수지를 포함하는 제2 필름 아래에 형성된 제1 수지를 포함하고, 제1 필름 및 제2 필름은 제2 필름이 오버버든 영역을 충전하도록 형성되고, 제1 필름은 제2 용해도-변화제에 민감성이며 포토레지스트의 감도 임계치보다 작은 감도 임계치를 갖고, 제2 필름은 제1 현상제에 가용성인, 단계; 제2 용해도-변화제를 활성화하고 제2 용해도-변화제를 릴리프 구조체로부터 제1 필름 내로 미리 결정된 확산 길이로 확산시키는 단계로서, 제2 용해도-변화제는 포토레지스트의 감도 임계치를 충족하지 않고서 제1 필름의 감도 임계치를 충족하도록 충분히 활성화되고, 제1 필름에 형성된 확산 영역은 제1 현상제에 가용성이 되는 반면, 포토레지스트는 제1 현상제에 불용성으로 남아 있는, 단계; 및 기판을 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 포함한다.
추가로 본 발명은 기판을 패터닝하는 방법에 관한 것이며, 이 방법은 부분적으로 충전될 개구를 정의하는 특징부를 갖는 기판을 수용하는 단계; 기판 상에 중합체 혼합물을 증착하는 단계로서, 중합체 혼합물은 특징부에 의해 정의되는 개구를 충전하며 특징부를 덮고, 특징부의 상부 표면 위의 영역은 오버버든 영역이고, 중합체 혼합물은 제1 중합체 및 제2 중합체를 포함하고, 중합체 혼합물은 중력에 대해 자가-분리되어 중합체 혼합물로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 중합체를 포함하는 제2 필름 아래에 형성된 제1 중합체를 포함하고, 제1 필름 및 제2 필름은 제1 필름이 정의된 개구를 부분적으로 충전하면서 제2 필름이 정의된 개구의 나머지 부분을 충전하며 오버버든 영역을 충전하도록 형성되고, 제2 필름은 특정 용매에 민감성인 반면, 제1 필름은 특정 용매에 불용성인, 단계; 및 기판을 특정 용매로 현상하여 제2 필름을 제거하는 단계로서, 제1 필름은 정의된 개구 내에 남아 있는, 단계를 포함한다.
본 '발명의 내용' 섹션은 본 발명 또는 청구된 발명의 모든 실시 형태 및/또는 점증적으로 신규한 양태를 제시하는 것이 아니라는 점에 유의해야 한다. 대신에, 본 '발명의 내용' 섹션은 상이한 실시 형태의 예비 논의 및 대응하는 신규성을 제공할 뿐이다. 본 발명 및 실시 형태의 추가적인 세부 사항 및/또는 가능한 관점에 대해서, 독자는 이하에서 추가로 논의되는 바와 같은 본 개시 내용의 '발명을 실시하기 위한 구체적인 내용' 섹션 및 대응하는 도면을 참조할 수 있다.
예로서 제시된 본 발명의 다양한 실시 형태는 유사한 참조부호가 유사한 요소를 나타내는, 다음의 도면을 참조하여 상세하게 설명될 것이다:
도 1a는 본 발명의 실시 형태에 따른, 릴리프 패턴을 포함하는 기판을 예시하는, 기판의 부분 단면도이다.
도 1b는 본 발명의 실시 형태에 따른, 릴리프 패턴 위의 재료의 증착을 예시하는, 기판의 부분 단면도이다.
도 1c는 본 발명의 실시 형태에 따른, 오버코트의 변형을 예시하는, 기판의 부분 단면도이다.
도 1d는 본 발명의 실시 형태에 따른, 현상 결과를 예시하는, 기판의 부분 단면도이다.
도 1e는 본 발명의 실시 형태에 따른, 현상 계수를 예시하는, 기판의 부분 단면도이다.
도 2a는 본 발명의 실시 형태에 따른, 릴리프 패턴을 포함하는 기판을 예시하는, 기판의 부분 단면도이다.
도 2b는 본 발명의 실시 형태에 따른, 릴리프 패턴 위의 재료의 증착을 예시하는, 기판의 부분 단면도이다.
도 2c는 본 발명의 실시 형태에 따른, 산 확산을 예시하는, 기판의 부분 단면도이다.
도 2d는 본 발명의 실시 형태에 따른, 현상 결과를 예시하는, 기판의 부분 단면도이다.
도 3a는 본 발명의 실시 형태에 따른, 단일 중합체 오버코트를 예시하는, 기판의 부분 단면도이다.
도 3b는 본 발명의 실시 형태에 따른, 리세스된 단일 중합체 오버코트를 예시하는, 기판의 부분 단면도이다.
도 4a는 본 발명의 실시 형태에 따른, 중합체 이중층 필름 시스템을 예시하는, 기판의 부분 단면도이다.
도 4b는 본 발명의 실시 형태에 따른, 리세스된 중합체 이중층 필름 시스템을 예시하는, 기판의 부분 단면도이다.
도 5는 본 발명의 실시 형태에 따른, 증착된 중합체 이중층 필름 시스템을 예시하는, 기판의 부분 사시도이다.
도 6은 본 발명의 실시 형태에 따른, 기판을 패터닝하는 방법에 대한 흐름도이다.
이하의 개시 내용은 제공된 주제의 상이한 특징을 구현하기 위한 많은 상이한 실시 형태 또는 실시예를 제공한다. 본 개시 내용을 단순화하기 위해서, 구성요소 및 배치에 관한 특정 예를 이하에서 설명한다. 이들은 물론 단지 예들이고 제한하는 것으로 의도되지 않는다. 예를 들어, 이하의 설명에서 제2 특징부 위 또는 상에 제1 특징부를 형성하는 것은 제1 특징부와 제2 특징부가 직접 접촉하여 형성된 실시 형태를 포함할 수 있고, 또한 제1 특징부와 제2 특징부가 직접 접촉하지 않도록 제1 특징부와 제2 특징부 사이에 추가 특징부가 형성될 수 있는 실시 형태를 포함할 수 있다. 또한, 본 개시 내용은 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순함과 명료함을 위한 것일 뿐, 그 자체로 논의된 다양한 실시 형태 및/또는 구성 사이의 관계를 나타내는 것은 아니다. 또한, "상부", "하부", "아래", "밑", "하측", "위", "상측" 등과 같은 공간적으로 상대적인 용어들은, 본원에서 도면에 예시된 바와 같이 한 요소 또는 특징부와 다른 요소(들) 또는 특징부(들)의 관계를 설명하도록, 설명을 용이하게 하기 위해 사용될 수 있다. 공간적으로 관련된 용어는 도면에 도시된 배향에 더하여 사용 중이거나 또는 작동 중인 장치의 상이한 배향을 포함하도록 의도된다. 장치는 달리 배향될(90 도 회전되거나 다른 배향들에 있을) 수 있고, 본원에 사용되는 공간적으로 관련되어 있는 기술어들은 마찬가지로 그에 상응하게 해석될 수 있다.
본원에 기술된 바와 같은 상이한 단계들의 논의 순서는 명확성을 위해 제시되었다. 일반적으로, 이들 단계는 임의의 적합한 순서로 수행될 수 있다. 게다가, 본원의 상이한 특징들, 기법들, 구성들 등 각각이 본 발명의 상이한 곳들에서 논의될 수 있지만, 개념들 각각이 서로와 관계없이 또는 서로와 조합으로 실행될 수 있다는 것이 의도된다. 따라서, 본 발명은 많은 상이한 방식으로 구현되고 보여질 수 있다.
끊임없이 계속되는 스케일링(scaling)은 개선된 패터닝 해상도를 필요로 한다. 한 가지 접근법은 원자층 증착(atomic layer deposition: ALD)을 통해 서브-해상도(sub-resolution) 라인 특징부를 정의하는 레버리지 스페이서 기술이다. 그러나, 한 가지 과제는 대향 톤 특징부가 요구되는 경우에, 스페이서 기술이 또 다른 재료의 오버코팅, 화학적 기계적 평탄화(chemical mechanical planarization: CMP) 및 좁은 트렌치를 남기는 스페이서 재료를 파내는 반응성 이온 에칭(reactive ion etch: RIE)을 수반하는 것으로 인해 복잡할 수 있고, 이는 비용이 많이 들 수 있다는 것이다.
안티-스페이서(anti-spacer)는, 임계 치수(CD)를 정의하기 위한 반응성 종의 확산 길이를 사용하여 좁은 트렌치를 생성하는, 자가 정렬(self-aligned) 기술이다. 마스크를 통한 노광을 통해 공간적으로 제어되는 반응성 종을 사용함으로써, 좁은 트렌치가 형성되는 대신에, 좁은 슬롯 접점이 형성될 수 있다. 공간적 제어 대신에, 반응성 종은 베이크 공정을 통해 열산 발생제(thermal acid generator: TAG)의 분해에 의해 웨이퍼에 걸쳐 균일하게 제어될 수 있다. 대응하는 CD는, 반응성 종의 분자량 변경, 반응성 종의 분자 구조, 그리고 베이킹 온도 및 베이킹 시간을 통해 조정될 수 있다. 추가적으로, CD는 반응성 종이 내부로 확산하는 재료의 조성에 의해 제어될 수 있다. 수지 내의 성분(반응성 종이 내부로 확산하는 재료)의 극성은, 가공을 제어하기 위한 추가 수단인, 재료의 반응성-종 민감성 성분의 활성화 에너지 및 산 확산성에 영향을 미칠 수 있다. 이러한 기술에 따라, 첨단 리소그래피 성능의 범위를 넘어서는 치수의 좁은 슬롯 접점 특징부로의 접근이 가능하다. 그러나, 가공은 동결 단계를 포함할 수 있다. 동결 단계는 산 발생제를 갖는 층의 용해도 변화 가능성을 상쇄시키는 처리일 수 있다. 그러나, 동결 가공으로 인해 결함 또는 오차가 발생할 수 있으며 처리량이 줄어들 수 있다.
전술한 안티-스페이서 흐름은 탈보호된 (또는 탈가교결합되거나 달리 용해도 변화된) 오버코트를 제거하고 안티-스페이서 특징부를 드러내는 데 사용되는 수성 현상제에서 탈보호된 포토레지스트 맨드릴이 용해되는 것을 억제하는 열적 동결 공정을 사용하였다. 열적 동결 기능을 포토레지스트에 통합하면 중합체 수지의 반응 환경 및 대량 생산을 위한 엄격한 요건으로 인해 어려움이 증가할 수 있다.
이를 위해, 미국 출원 제63/135,217호, "콘트라스트 선택성에 의한 무동결 안티-스페이서 형성"(Freeze-less Anti-spacer Formation by Contrast Selectivity)은 열적 동결이 필요 없이 서브-해상도 안티-스페이서 특징부를 형성하는 기법, 즉 "무동결" 안티-스페이서 방법을 기술하며, 이는 본원에 전체적으로 참고로 포함된다. 수성 현상제에서의 용해성을 달성하는 데 필요한 포토레지스트 맨드릴의 탈보호 임계치 미만으로 서브-해상도 안티-스페이서 특징부를 형성하는 방법이 여기에 기술된다. 오버코트의 고유한 용해 콘트라스트는 포토레지스트 맨드릴과 쌍을 이루어서, 포토레지스트는 현상제에 비교적 또는 충분히 불용성으로 유지되면서 안티-스페이서를 형성하도록 오버코트의 용해도가 변화될 수 있다. 이러한 공정은 포토레지스트 맨드릴의 용해를 방지하기 위한 열적 동결 단계의 필요성을 회피하는 수단을 제공한다. 그러므로, 맨드릴 형성에 추가적인 TAG가 필요하지 않다.
통합된 개시 내용의 공정은 개선된 패터닝 이점을 갖지만, 이 공정은 수지 오버코트의 오버버든을 제거하는 것을 포함한다. 오버버든은 맨드릴의 상부 표면 또는 릴리프 패턴의 상부 표면 위의 충전 재료의 양이다(하기 참조). 패턴 전사 또는 지속적인 패터닝을 위해 안티-스페이서 특징부에 접근하거나 이를 드러내기 위해 오버버든을 제거할 수 있다.
본원의 기법은 이중층 중합체 시스템으로 자가 분리되는 중합체 혼합물 오버코트를 사용하여 이러한 안티-스페이서 형성 방법을 향상시킨다. 제1 중합체는 릴리프 패턴의 상부 표면 아래의 공간을 충전하는 반면, 제2 중합체는 릴리프 패턴의 상부 표면 위에 조립된다. 산 확산에 의한 안티-스페이서 특징부의 형성 후에, 제1 중합체 또는 릴리프 패턴에 영향을 미치지 않으면서 현상제로 제2 중합체를 용이하게 제거할 수 있다.
일부 플루오르화 중합체 또는 장쇄 알킬 작용기를 갖는 중합체에서 발견되는 표면 에너지 차이를 이용하여 이중층 중합체 시스템에서 표면 층(또는 상측 필름) 분리를 촉진하는 기법이 본원에 기술된다. 따라서, 안티-스페이서 형성을 위한 충전 재료의 오버버든을 쉽게 제거하여, 확산 길이에 의해 정의된 탈보호 영역에 의해 생성된 특징부에 접근할 수 있다.
이를 위해, 도 1a는 본 발명의 실시 형태에 따른, 릴리프 패턴(110)을 포함하는 기판(105)을 예시하는, 기판의 부분 단면도이다. 일 실시형태에서, 릴리프 패턴(110)은 기판(105)의 표면 상에 형성될 수 있다. 예를 들어, 포토레지스트 재료의 층이 릴리프 패턴(110)으로 이미지화 및 현상될 수 있는 포토리소그래피 공정이 사용될 수 있다. 릴리프 패턴(110)은, 예를 들어 현상 후에, 맨드릴(110a)을 생성할 수 있다. 원하는 최종 특징부에 따라, 복수의 맨드릴(110a)이 또한 릴리프 패턴(110)을 형성할 수 있다. 특히, 맨드릴(110a)은 폭 및 높이를 포함할 수 있으며, 맨드릴(110a)의 높이는 기판(105)의 표면으로부터 맨드릴(110a)의 상부까지 측정된 거리에 의해 정의될 수 있다.
도 1b는 본 발명의 실시 형태에 따른, 릴리프 패턴(110) 위의 재료의 증착을 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 오버코트(115a)는, 예를 들어 스핀 코팅 공정을 통해, 릴리프 패턴(110) 위에 증착될 수 있다. 코팅 공정의 다른 비제한적인 예는 특히 딥 코팅, 플로우 코팅, 스프레이 코팅 등을 포함할 수 있다. 증착된 재료는 예를 들어 수지 또는 중합체(115)일 수 있다. 특히, 과량의 증착된 수지/중합체(115)로 인해 일부 양의 수지/중합체(115)가 맨드릴(110a)의 상부 위에 코팅될 수 있다. 이러한 과량은 오버버든으로 정의될 수 있다. 추가의 가공을 위해 맨드릴(110a)의 상부를 노출시키기 위해 오버버든을 제거해야 할 필요가 있을 수 있다. 예를 들어, 오버코트(115a)의 오버버든을 물리적으로 제거하고 오버코트(115a)를 평탄화하기 위해 CMP 공정이 사용될 수 있다. 그러나, CMP와 같은 공정은 릴리프 패턴(110)의 전체 높이를 감소시키지 않고서 맨드릴(110a)들 사이의 오버코트(115a) 재료의 제거를 달성하지는 못할 수 있다.
도 1c는 본 발명의 실시 형태에 따른, 오버코트(115a)의 변형을 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 릴리프 패턴(110) 재료는 산을 포함할 수 있고 산은 릴리프 패턴(110)으로부터 주변 오버코트(115a) 내로 확산하여 가용성 영역(120)을 형성할 수 있다. 즉, 산은 수지/중합체(115)를 변형시키고 용해도 변화를 유발할 수 있다. 특히, 확산 길이는 중합체 혼합물의 제형에 따라 맨드릴(110a)의 상부 표면 위의 오버버든의 용해도를 완전히 변화시키지는 못할 수 있다. 따라서, 안티-스페이서의 좁은 개구(트렌치)에 접근하기 전에 이러한 불용성 오버버든을 제거하기 위해 전술한 CMP와 같은 추가 공정 단계가 수행될 수 있다. 오버코트(115a)가 미리 결정된 현상제에 부분적으로 용해되더라도, 이는 여전히 완전한 스페이서 형성을 억제할 수 있다. 오버코트(115a)의 용해도가 증가하면, 웨이퍼(기판(105))에 걸친 트렌치 및 CD 균일성은 원하는 것보다 더 많은 변화를 나타낼 수 있다. 이는 또한 기판(105)의 토포그래피 및 접근될 목표 치수에 따라 달라질 수 있다.
도 1d는 본 발명의 실시 형태에 따른, 현상 결과를 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 가용성 영역(120)을 드러낸 후 현상제가 오버코트(115a)의 가용성 영역(120)을 제거할 수 있다. 도 1d에 도시된 바와 같이, 좁은 개구 또는 안티-스페이서는 수지/중합체(115)의 용해도 변화를 초래하는 산의 확산 길이에 의해 정의될 수 있다.
도 1e는 본 발명의 실시 형태에 따른, 현상 계수를 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 오버버든 높이 및 안티-스페이서 트렌치를 제거하는 데 걸리는 시간에 따라 현상 시간이 정해질 수 있다. 따라서, 과도한 현상 시간은 안티-스페이서 트렌치를 넓힐 것이므로 낮은 용해 속도는 더 큰 공정 윈도우를 제공할 수 있다. 도시된 바와 같이, 맨드릴(110a)의 높이는 나머지 오버코트(115a)의 높이와 동일하지 않다. 특히, 이중층 오버코트는 안티-스페이서 공정 흐름에서 단일 중합체 오버코트와 비교하여 웨이퍼 전체에 걸친 균일성을 개선하는 데 도움을 줄 수 있다.
기판을 패터닝하고 안티-스페이스 특징부를 형성하는 방법이 본원에 기술되며, 여기서 오버버든을 제거하는 단계는 이중층 중합체 시스템으로 자가-분리되는 중합체 혼합물 오버코트에 의해 촉진된다.
이를 위해, 도 2a는 본 발명의 실시 형태에 따른, 릴리프 패턴(110)을 포함하는 기판(105)을 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 이전 설명과 유사하게, 포토레지스트의 층이 증착되고, 이미지화되고, 릴리프 패턴(110)으로 현상될 수 있다.
도 2b는 본 발명의 실시 형태에 따른, 릴리프 패턴(110) 위의 재료의 증착을 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 오버코트(115a)는, 예를 들어 스핀 코팅 공정을 통해, 릴리프 패턴(110) 위에 증착될 수 있다. 코팅 공정의 다른 비제한적인 예는 특히 딥 코팅, 플로우 코팅, 스프레이 코팅 등을 포함할 수 있다. 여기서, 증착된 재료는 예를 들어 수지 또는 중합체 혼합물일 수 있다. 중합체 혼합물은, 예를 들어, 수지/중합체(115) 및 고용해도 수지/중합체(125)를 각각 혼합물 중의 제1 수지/중합체 및 제2 수지/중합체로서 포함할 수 있다. 고용해도 수지/중합체(125)의 비제한적인 예는 특히 HFIP-M, MA-MIB-HFA, MA-BTHB-OH, MA-3,5-HFA-CHOH, MA-BTHB-NB, MA-EATf, 및 MA-DM-EATf를 포함할 수 있다. 수지/중합체(115)의 비제한적인 예는 맨드릴(110a) 재료에 대해 역 용해성(inverse solubility)을 갖는 임의의 유형의 메타크릴레이트 또는 페놀계 중합체를 포함할 수 있다. 오버코트(115)는 릴리프 패턴(110)에 의해 정의되는 개구를 충전할 수 있을 뿐만 아니라 릴리프 패턴(110)을 덮을 수 있다. 특히, 과량의 증착된 중합체 혼합물은 마찬가지로 맨드릴(110a)의 상부를 덮는 오버버든을 초래할 수 있다.
실시 형태에서, 중합체 혼합물은 자가-분리 또는 자가-조립되도록 구성될 수 있다. 예를 들어, 중합체 혼합물은 중력에 대해 또는 기판(105)의 표면의 평면에 수직으로 자가-분리될 수 있다. 자가-분리는 임의의 활성화 없이 증착 후에 일어날 수 있거나, 중합체 혼합물의 조성에 기초하여 활성화 단계가 사용될 수 있다. 예를 들어, 베이킹 단계가 열적 활성화를 위해 사용될 수 있다. 자가-분리는 이중층 또는 2층 필름을 생성할 수 있다. 도 2b는 고용해도 수지/중합체(125) 필름 아래에 배치된 수지/중합체(115) 필름을 포함하는, 자가-분리 후의 이중층 필름을 나타낸다. 각각의 필름의 조성물은 상이한 특성을 가질 수 있다. 예를 들어, 하측 수지/중합체(115) 필름은 양호한 에칭 저항성을 제공하도록 구성될 수 있다. 예를 들어, 에스테르 보호기가 존재하고 산의 존재 하에 탈보호가 일어나는 경우가 아니라면, 하측 수지/중합체(115) 필름은 TMAH에서의 용해도가 매우 낮거나 용해되지 않을 수 있다. 반대로, 상측 고용해도 수지/중합체(125) 필름은 예를 들어 TMAH에 매우 높은 용해도를 가질 수 있다. 하측 수지/중합체(115) 필름은 또한 포토레지스트 재료와 비교하여 산 또는 다른 제제의 하한 임계치의 용해도를 변화시키도록 구성될 수 있다. 사용하기 위해 선택된 재료 및 제형 중 주어진 농도에 따라, 상측 고용해도 수지/중합체(125) 필름에서 10 내지 30 옹스트롬 이상의 원위치(in situ) 중합체 분리가 달성될 수 있다.
특히, 실시 형태에서, 제1 중합체 및 제2 중합체(예컨대, 수지/중합체(115) 및 고용해도 수지/중합체(125))의 상대적인 양은 오버버든 영역이 제2 중합체로 구성되기에 충분한 제2 중합체의 재료가 존재하도록 구성될 수 있다. 제2 중합체 필름은 릴리프 패턴(110)에서 맨드릴(110a)의 상부 표면 아래로 연장될 수도 있다. 제2 중합체 필름 또는 상측 중합체 층은 제1 중합체 층과는 상이하게 구성될 수 있다. 제2 중합체 층은 용해도를 변화시킬 필요가 있는 제제 없이 미리 결정된 현상제 또는 용매에 가용성이 되도록 구성될 수 있다. 따라서 중합체 혼합물 제형은 상측 필름 또는 오버버든의 제거를 더 용이하게 하여, 릴리프 패턴(110) 및 잠재적으로 하측 수지/중합체(115) 필름의 일부를 드러낸다.
도 2c는 본 발명의 실시 형태에 따른, 산 확산을 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 상측 분리된 고용해도 수지/중합체(125)는 미리 결정된 현상제에서 비교적 높은 용해 속도를 갖도록 설계될 수 있다. 이는 오버버든의 매우 빠른 제거를 가능하게 하여 안티-스페이서 영역을 드러낼 수 있다. 가용성 영역(120)을 형성하기 위한 산 확산 및 후속적인 탈보호 후에, 안티-스페이서 영역이 또한 미리 결정된 현상제에 가용성일 수 있다. 산 확산 길이 또는 거리는 베이크 시간, 온도, 및 다른 요인에 의해 정밀하게 제어될 수 있다. 오버코트(115a) 이중층 배열의 상부에 고용해도 수지/중합체(125)를 통합하는 것은 현상제에서 나머지 중합체가 특정 용해 속도를 가져야 할 필요성을 제거할 수 있고 따라서 에칭 선택성과 플랫폼 유형을 제1 하측 필름에서의 중합체와 일치시키는 것이 더 쉬울 수 있다.
오버버든의 제거는, 도 2c에서 화살촉이 나타나 있는 곳에 표시된 안티-스페이서 영역에 대한 접근을 가능하게 할 수 있다. 고용해도 수지/중합체(125)는 형성된 라인의 원하는 종횡비를 유지하면서 특정 현상제 용해 속도를 사용하여 안티-스페이서를 현상할 수 있다. 하측 수지/중합체(115) 필름의 또 다른 특성은 상이한 에칭 선택성을 갖는 것이 가능하다. 하층 내로의 에칭 전사를 최적화하기 위해 하측 수지/중합체(115) 필름 및 맨드릴(110a) 재료의 에칭 선택성은 유사한 것이 바람직할 수 있다. 또한, 실시 형태에서, 고용해도 수지/중합체(125)는 에칭 선택성이 필요하지 않을 수 있다. 하측 필름 선택성에 대한 몇 가지 문제를 완화하기 위해, 소량의 블렌드 중합체가 상측 고용해도 수지/중합체(125) 필름에 사용될 수 있다. 블렌드 중합체는, 블렌드 중합체의 표면 에너지로 인해 코팅, 예를 들어 스핀 코팅 동안 필름의 상부로 분리될 수 있다는 점에서 주요 중합체와는 화학적으로 상이하도록 선택될 수 있다. 블렌드 중합체는 또한 에칭 선택성이 필요 없이 높은 현상제 용해도를 가질 수 있다. 193 nm 침지 리소그래피를 위한 탑 코트에서 단층을 생성하기 위해 중합체 필름을 분리하면 매우 얇은 필름이 생성될 뿐만 아니라 광기전 장치에서 엑시톤 분리를 위한 벌크 이종접합이 형성될 수 있다. 본원에 기술된 실시 형태에 대해, 고용해도 수지/중합체(125)의 더 두꺼운 층은 하측 수지/중합체(115) 필름 제형에 대한 오버버든과 유사한 두께로 표면으로 이동할 수 있다.
도 2d는 본 발명의 실시 형태에 따른, 현상 결과를 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 상측 고용해도 수지/중합체(125) 필름에 대한 현상제 용해 속도가 높으면 확산된 산과 반응하여 현상제-가용성 안티-스페이서 영역(120)을 형성한 하측 수지/중합체(115) 필름의 영역이 드러날 수 있다. 하측 필름에 남아 있는 중합체는 이제 고유한 또는 노출되지 않은 현상제 용해도를 필요로 하지 않으며, 에칭 선택성과 같은 다른 특성에 더 집중할 수 있다. 현상제에 용해되지 않는 하측 수지/중합체(115) 필름의 주요 중합체의 또 다른 이점은 웨이퍼 전체에 걸친 균일성을 개선하는 것이다. 오버코트(115a)의 오버버든 부분보다 약간 더 많이 덮는 상측, 현상제-가용성 고용해도 수지/중합체(125)를 갖는 이중층 중합체 필름 시스템을 갖는 것은 웨이퍼 전체에 걸친 불균일성에 대한 일부 고유한 자가-교정을 가능하게 한다. 상측 필름을 제거한 후에, 가용성 안티-스페이서를 또한 제거할 수 있다.
본원에 기술된 다른 공정 흐름 단계는 안티-스페이서 형성을 위한 속도-선택적 흐름 공정의 단계와 유사할 수 있으며 추가 단계가 필요하지 않다. 추가적인 산 공급원을 포함하는 제1 레지스트를 코팅하고 이미지화할 수 있다. 중합체 이중층 시스템을 포함하는 제2 제형은 제1 층 이미지의 상부에 코팅될 수 있다. 이 제형은 제1 층 패턴을 용해시키지 않는 용매로부터 코팅될 수 있으며, MIBC(메틸 이소부틸 카르비톨)를 포함할 수 있지만 이로 한정되지 않는다. 제2 제형은 존재하는 두 중합체의 분리를 촉진하는 용매를 선택적으로 포함할 수 있다. 더 낮은 표면 에너지의 중합체는 표면 에너지 차이를 촉진하기 위해 플루오르화 모이어티 또는 장쇄 알킬 작용기를 포함할 수 있다. 더 낮은 표면 에너지의 중합체는 또한 현상제에서의 효율적인 용해를 촉진하기 위해 산성 작용기를 포함할 수 있다. 오버버든을 형성하기 위해 토포그래피에 대한 분리를 촉진하는 것은 여기서 개선점을 제공한다. 하측 층 내의 주요 중합체는 제1 층 패터닝된 레지스트와 유사한 화학적 특성을 갖는, 바람직하게는 유사한 에칭 선택성을 촉진하는 작용기를 갖는 임의의 중합체일 수 있다. 주요 중합체는 제1 층 중합체보다 낮은 활성화 에너지에서 탈보호하는(용해도를 변화시키는) 전환 가능한 성분을 포함할 수 있다. 새로 용해되는 영역은 제1 층 패턴을 용해하지 않는 용매에 가용성일 수 있다.
실시 형태에서, 중합체 이중층 필름의 증착 후에, 베이크 단계를 수행하여 산을 생성하고 하측 층 중합체로 확산시킬 수 있으며, 여기서 탈보호 단계는 주요 중합체의 용해도를 전환시킨다. 후속 현상 단계는 용해 속도가 높은 오버버든 층과 산 확산 베이킹에 의해 탈보호된 영역을 제거할 수 있다. 현상 단계 후에, 하측 층 필름으로부터 트렌치 및 라인이 생성되었다. 더 작은 트렌치를 갖는 새로운 패턴이 이제 하층 내로 전사될 수 있다.
유리하게는, 본원의 중합체 이중층 필름 시스템은 안티-스페이서 공정 흐름에서 단일 중합체 오버코트와 비교하여 웨이퍼 전체에 걸친 균일성을 개선한다. 중합체 이중층 시스템에서, 오버버든은 측벽과 상이한 재료일 수 있으며, 중합체 이중층 시스템에서 하측 중합체는, 예를 들어, TMAH에서의 용해성을 필요로 하지 않는다. 이해될 수 있는 바와 같이, 중합체 이중층 시스템을 형성하기 위해 다양한 중합체 및 조성물이 선택될 수 있다. 선택된 중합체 및 용매 시스템에 따라, 상측 층은 상이한 높이를 가질 수 있다. 따라서, 주어진 오버버든 높이 및 안티-스페이서 트렌치를 제거하는 데 걸리는 시간은 상응하는 현상 시간을 정의한다. 현상 시간이 증가하면 안티-스페이서 트렌치가 넓어질 수 있는 한편, 낮은 용해 속도는 더 큰 공정 윈도우를 제공할 수 있다.
이를 위해, 도 3a는 본 발명의 실시 형태에 따른, 단일 중합체 오버코트를 예시하는, 기판의 부분 단면도이다. 도 3b는 본 발명의 실시 형태에 따른, 리세스된 단일 중합체 오버코트를 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 트렌치 또는 개구의 부분적인 충전이 요구되는 다수의 제조 단계가 있다. 일부 공정은 단일 중합체 오버코트(115a)를 증착하고, 이어서 오버버든을 제거하고 재료를 리세스하기 위한 에칭 단계를 실행하는 것을 포함할 수 있다. 이러한 유형의 에칭은 에칭 정지 층을 갖지 않을 수 있으므로 에칭 지속 시간을 사용하여 리세스 양을 추정하며, 이는 제어가 불량할 수 있다. 원자 층 에칭을 사용할 수 있으나, 이는 비용을 증가시키고 처리량을 감소시킬 수 있다.
따라서, 도 4a는 본 발명의 실시 형태에 따른, 중합체 이중층 필름 시스템을 예시하는, 기판의 부분 단면도이다. 도 4b는 본 발명의 실시 형태에 따른, 리세스된 중합체 이중층 필름 시스템을 예시하는, 기판의 부분 단면도이다. 실시 형태에서, 중합체 혼합물은 상이한 현상제에 가용성이도록 선택될 수 있으며 적어도 2개의 층으로 자가-분리 또는 자가-조립되도록 구성될 수 있다. 상측 필름(고용해도 수지/중합체(125))은 오버버든 영역 및 개구의 일부를 충전할 수 있다. 하측 필름은 남아 있으면서 상측 필름이 제거될 수 있도록, 상측 필름은 하측 필름(수지/중합체(115))을 용해시키지 않거나 적어도 상당히 더 느린 용해 속도를 갖는 특정 용매로 제거될 수 있다.
이해될 수 있는 바와 같이, 리세스된 트렌치 충전이 요구되는 몇몇 응용이 있을 수 있다. 비제한적인 예로서, 한 가지 응용에는 스핀-온 유리로 리세스를 형성하는 것이 포함된다. 도 5는 본 발명의 실시 형태에 따른, 증착된 중합체 이중층 필름 시스템을 예시하는, 기판의 부분 사시도이다. 도 5의 좌측에서, 스페이서들 사이에 정밀한 리세스가 요구된다. 중합체 혼합물은 특징부/개구를 갖는 기판 상에 증착될 수 있다. 중합체 혼합물은 트렌치 내의 정밀한 깊이까지 분리된 다음 스핀-온 용매 린스에 의해 제거될 수 있다. 이러한 이중층 리세스의 한 가지 이점은 처리량을 증가시키기 위해 트랙 도구(코팅기-현상제 도구)에서 구현된다는 것이다. 일부 리세스 응용에서, 중합체 이중층 필름 시스템은 기판 또는 측벽 선택성에 의존하지 않는 하부-성장에 대한 사전-정의된 대안이 될 수 있다. 본원의 이중층 분리는 연질 재료에 제한되지 않으며, 자가-분리를 지원하는 임의의 유형의 스핀-온 재료 혼합물이 사용될 수 있다는 점에 유의한다.
요약하면, 이중층 오버코트 필름은 평면 표면 또는 정밀하게 조정된 리세스를 형성하도록 제거할 수 있는 제어된 두께의 오버버든을 형성하기 위한 고처리량 방법을 가능하게 한다. 안티-스페이서 적용 동안, 표면까지 분리되는 오버코트 중합체는 높은 현상액 용해도를 갖는다. 현상 단계는 오버버든을 형성하는 상부 중합체를 빠르게 제거할 수 있으므로 탈보호된 중합체가 아래에 노출되고, 트렌치가 형성된다. 이중층의 하부의 보호된 산 불안정성 오버코트 중합체는 현상제 용해성을 필요로 하지 않으며, 이는 웨이퍼 전체에 걸친 CD 균일성을 향상시키고 개선된 중합체 기능을 허용한다.
도 6은 본 발명의 실시 형태에 따른, 기판을 패터닝하는 방법(600)에 대한 흐름도이다.
단계 605에서는 기판 상에 포토레지스트의 제1 층을 증착한다.
단계 610에서는 포토레지스트의 제1 층으로부터 릴리프 패턴을 형성하며, 포토레지스트의 제1 층은 제1 용해도-변화제 및 제2 용해도-변화제를 포함하고, 포토레지스트는 제1 용해도-변화제 및 제2 용해도-변화제에 대한 감도를 갖고, 릴리프 패턴은 화학 방사선의 패턴을 사용하여 제1 용해도-변화제를 활성화하고 포토레지스트의 제1 층을 현상함으로써 형성된다.
단계 615에서는 릴리프 구조체 상에 수지 또는 중합체 혼합물을 증착하며, 수지/중합체 혼합물은 릴리프 패턴에 의해 정의되는 개구를 충전하며 릴리프 구조체를 덮고, 릴리프 구조체의 상부 표면 위의 영역은 오버버든 영역이고, 수지/중합체 혼합물은 제1 수지/중합체 및 제2 수지/중합체를 포함하고, 수지/중합체 혼합물은 중력에 대해 자가-분리되어 수지/중합체 혼합물로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 수지/중합체를 포함하는 제2 필름 아래에 형성된 제1 수지/중합체를 포함하고, 제1 필름 및 제2 필름은 제2 필름이 오버버든 영역을 충전하도록 형성되고, 제1 필름은 제2 용해도-변화제에 민감성이며 포토레지스트의 감도 임계치보다 작은 감도 임계치를 갖고, 제2 필름은 제1 현상제에 가용성이다.
단계 620에서는 제2 용해도-변화제를 활성화하고 제2 용해도-변화제를 릴리프 구조체로부터 제1 필름 내로 미리 결정된 확산 길이로 확산시키며, 제2 용해도-변화제는 포토레지스트의 감도 임계치를 충족하지 않고서 제1 필름의 감도 임계치를 충족하도록 충분히 활성화되고, 제1 필름에 형성된 확산 영역은 제1 현상제에 가용성이 되는 반면, 포토레지스트는 제1 현상제에 불용성으로 남아 있다.
단계 625는 기판을 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계이다.
기판을 패터닝하는 방법은, 기판 상의 포토레지스트의 제1 층으로부터 기판 상의 릴리프 구조체를 포함하는 릴리프 패턴을 형성하는 단계로서, 포토레지스트의 제1 층은 제1 용해도-변화제 및 제2 용해도-변화제를 포함하고, 포토레지스트는 제1 용해도-변화제 및 제2 용해도-변화제에 대한 감도를 갖고, 릴리프 패턴은 화학 방사선의 패턴을 사용하여 제1 용해도-변화제를 활성화하고 포토레지스트의 제1 층을 현상함으로써 형성되는, 단계; 릴리프 구조체 상에 중합체 혼합물을 증착하는 단계로서, 중합체 혼합물은 릴리프 패턴에 의해 정의되는 개구를 충전하며 릴리프 구조체를 덮고, 릴리프 구조체의 상부 표면 위의 영역은 오버버든 영역이고, 중합체 혼합물은 제1 중합체 및 제2 중합체를 포함하고, 중합체 혼합물은 중력에 대해 자가-분리되어 중합체 혼합물로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 중합체를 포함하는 제2 필름 아래에 형성된 제1 중합체를 포함하고, 제1 필름 및 제2 필름은 제2 필름이 오버버든 영역을 충전하도록 형성되고, 제1 필름은 제2 용해도-변화제에 민감성이며 포토레지스트의 감도 임계치보다 작은 감도 임계치를 갖고, 제2 필름은 제1 현상제에 가용성인, 단계; 제2 용해도-변화제를 활성화하고 제2 용해도-변화제를 릴리프 구조체로부터 제1 필름 내로 미리 결정된 확산 길이로 확산시키는 단계로서, 제2 용해도-변화제는 포토레지스트의 감도 임계치를 충족하지 않고서 제1 필름의 감도 임계치를 충족하도록 충분히 활성화되고, 제1 필름에 형성된 확산 영역은 제1 현상제에 가용성이 되는 반면, 포토레지스트는 제1 현상제에 불용성으로 남아 있는, 단계; 및 기판을 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 포함한다.
실시 형태에서, 중합체 혼합물은 스핀-코팅 증착을 통해 증착된다.
실시 형태에서, 중합체 혼합물은 기판 상에 증착될 때 자가-분리된다.
실시 형태에서, 제2 필름은 자가-분리 시에 릴리프 구조체의 상부 표면 아래로 연장된다.
실시 형태에서, 중합체 혼합물은 열에 반응하여 자가-분리되고, 방법은 릴리프 구조체 상에 중합체 혼합물을 증착하는 단계 후에 열 베이크를 수행하는 단계를 추가로 포함한다.
실시 형태에서, 기판을 현상하는 단계는 기판을 제1 현상제로 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 추가로 포함한다.
실시 형태에서, 제2 필름은 제2 현상제에 가용성이고, 기판을 현상하는 단계는 기판을 제1 현상제로 현상하여 제1 필름의 가용성 확산 영역을 제거하기 전에 기판을 제2 현상제로 현상하여 제2 필름을 제거하는 단계를 추가로 포함한다.
실시 형태에서, 제2 필름은 제2 용해도-변화제를 활성화한 후에 제거된다.
실시 형태에서, 제2 필름은 제2 용해도-변화제를 활성화하기 전에 제거된다.
실시 형태에서, 제2 중합체의 재료는 HFIP-M, MA-MIB-HFA, MA-BTHB-OH, MA-3,5-HFA-CHOH, MA-BTHB-NB, MA-EATf, 및 MA-DM-EATf를 포함한다.
기판을 패터닝하는 방법은 기판 상의 포토레지스트의 제1 층으로부터 기판 상의 릴리프 구조체를 포함하는 릴리프 패턴을 형성하는 단계로서, 포토레지스트의 제1 층은 제1 용해도-변화제 및 제2 용해도-변화제를 포함하고, 포토레지스트는 제1 용해도-변화제 및 제2 용해도-변화제에 대한 감도를 갖고, 릴리프 패턴은 화학 방사선의 패턴을 사용하여 제1 용해도-변화제를 활성화하고 포토레지스트의 제1 층을 현상함으로써 형성되는, 단계; 릴리프 구조체 상에 수지 오버코트를 증착하는 단계로서, 수지 오버코트는 릴리프 패턴에 의해 정의되는 개구를 충전하며 릴리프 구조체를 덮고, 릴리프 구조체의 상부 표면 위의 영역은 오버버든 영역이고, 수지 오버코트는 제1 수지 및 제2 수지를 포함하는 수지 혼합물을 갖고, 수지 오버코트는 중력에 대해 자가-분리되어 수지 오버코트로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 수지를 포함하는 제2 필름 아래에 형성된 제1 수지를 포함하고, 제1 필름 및 제2 필름은 제2 필름이 오버버든 영역을 충전하도록 형성되고, 제1 필름은 제2 용해도-변화제에 민감성이며 포토레지스트의 감도 임계치보다 작은 감도 임계치를 갖고, 제2 필름은 제1 현상제에 가용성인, 단계; 제2 용해도-변화제를 활성화하고 제2 용해도-변화제를 릴리프 구조체로부터 제1 필름 내로 미리 결정된 확산 길이로 확산시키는 단계로서, 제2 용해도-변화제는 포토레지스트의 감도 임계치를 충족하지 않고서 제1 필름의 감도 임계치를 충족하도록 충분히 활성화되고, 제1 필름에 형성된 확산 영역은 제1 현상제에 가용성이 되는 반면, 포토레지스트는 제1 현상제에 불용성으로 남아 있는, 단계; 및 기판을 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 포함한다.
실시 형태에서,
실시 형태에서, 수지 오버코트는 스핀-코팅 증착을 통해 증착된다.
실시 형태에서, 수지 오버코트는 기판 상에 증착될 때 자가-분리된다.
실시 형태에서, 제2 필름은 자가-분리 시에 릴리프 구조체의 상부 표면 아래로 연장된다.
실시 형태에서, 수지 오버코트는 열에 반응하여 자가-분리되고, 방법은 릴리프 구조체 상에 수지 오버코트를 증착하는 단계 후에 열 베이크를 수행하는 단계를 추가로 포함한다.
실시 형태에서, 기판을 현상하는 단계는 기판을 제1 현상제로 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 추가로 포함한다.
실시 형태에서, 제2 필름은 제2 현상제에 가용성이고, 기판을 현상하는 단계는 기판을 제1 현상제로 현상하여 제1 필름의 가용성 확산 영역을 제거하기 전에 기판을 제2 현상제로 현상하여 제2 필름을 제거하는 단계를 추가로 포함한다.
실시 형태에서, 제2 필름은 제2 용해도-변화제를 활성화한 후에 제거된다.
실시 형태에서, 제2 필름은 제2 용해도-변화제를 활성화하기 전에 제거된다.
기판을 패터닝하는 방법은 부분적으로 충전될 개구를 정의하는 특징부를 갖는 기판을 수용하는 단계; 기판 상에 중합체 혼합물을 증착하는 단계로서, 중합체 혼합물은 특징부에 의해 정의되는 개구를 충전하며 특징부를 덮고, 특징부의 상부 표면 위의 영역은 오버버든 영역이고, 중합체 혼합물은 제1 중합체 및 제2 중합체를 포함하고, 중합체 혼합물은 중력에 대해 자가-분리되어 중합체 혼합물로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 중합체를 포함하는 제2 필름 아래에 형성된 제1 중합체를 포함하고, 제1 필름 및 제2 필름은 제1 필름이 정의된 개구를 부분적으로 충전하면서 제2 필름이 정의된 개구의 나머지 부분을 충전하며 오버버든 영역을 충전하도록 형성되고, 제2 필름은 특정 용매에 민감성인 반면, 제1 필름은 특정 용매에 불용성인, 단계; 및 기판을 특정 용매로 현상하여 제2 필름을 제거하는 단계로서, 제1 필름은 정의된 개구 내에 남아 있는, 단계를 포함한다.
전술한 설명에서, 가공 시스템의 특정한 기하학적 구조 및 본원에 사용된 다양한 구성요소 및 공정의 설명과 같은 특정한 상세 사항이 설명되었다. 그러나, 본원의 기법들은 이러한 구체적인 세부 사항으로부터 벗어나는 다른 실시 형태로 실시될 수 있으며, 이러한 세부 사항은 설명을 위한 목적이며 제한 사항이 아님을 이해해야 한다. 본원에 개시되는 실시 형태들을 첨부 도면들을 참조하여 설명하였다. 마찬가지로 설명을 위해, 특정 수, 재료 및 구성이 철저한 이해를 제공하도록 제시되었다. 그럼에도 불구하고, 실시 형태들은 그러한 구체적 세부 사항 없이 실행될 수 있다. 실질적으로 동일한 기능적 구성을 갖는 구성요소는 유사한 참조부호로 표시되며, 중복되는 설명은 생략될 수 있다.
다양한 실시 형태의 이해를 돕기 위해 다양한 기법이 다수의 별개의 작동으로 설명되었다. 설명 순서는, 이러한 작동들이 반드시 순서에 의존적임을 암시하는 것으로서 해석되어서는 안 된다. 실제로 이러한 작동들은 제시된 순서대로 수행될 필요는 없다. 설명된 작동들은 설명된 실시 형태와는 상이한 순서로 수행될 수 있다. 다양한 추가적 작동들이 수행될 수 있으며/있거나 설명된 작동들이 추가 실시 형태에서 생략될 수 있다.
본원에 사용된 바와 같은 "기판" 또는 "표적 기판"이란, 본 발명에 따라서 가공되는 대상을 총칭한다. 기판은, 장치, 특히 반도체 또는 다른 전자 장치의 임의의 재료 부분 또는 구조를 포함할 수 있고, 예를 들어, 반도체 웨이퍼, 레티클과 같은 베이스 기판 구조, 또는 박막과 같이 베이스 기판 구조 상에 또는 위에 있는 층일 수 있다. 따라서, 기판은, 패터닝되거나 패터닝되지 않은, 임의의 특정 베이스 구조, 하부층 또는 상부층으로 제한되지 않고, 오히려 임의의 이러한 층 또는 베이스 구조, 및 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 고려된다. 설명은 특정 유형의 기판들을 참조할 수 있지만, 이는 오로지 예시의 목적을 위한 것이다.
당업자는 또한 본 발명의 동일한 목적을 여전히 달성하면서 위에서 설명된 기법의 작동에 많은 변경이 이루어질 수 있음을 이해할 것이다. 이러한 변형은 본 발명의 범위에 포함되는 것으로 의도된다. 따라서, 본 발명의 실시 형태에 대한 전술한 설명은 제한하려는 의도가 아니다. 오히려 본 발명의 실시 형태에 대한 임의의 제한이 이하의 청구항들에 제시되어 있다.

Claims (20)

  1. 기판을 패터닝하는 방법으로서,
    기판 상의 포토레지스트의 제1 층으로부터 기판 상의 릴리프 구조체를 포함하는 릴리프 패턴을 형성하는 단계로서, 포토레지스트의 제1 층은 제1 용해도-변화제(solubility-shifting agent) 및 제2 용해도-변화제를 포함하고, 포토레지스트는 제1 용해도-변화제 및 제2 용해도-변화제에 대한 감도를 갖고, 릴리프 패턴은 화학 방사선의 패턴을 사용하여 제1 용해도-변화제를 활성화하고 포토레지스트의 제1 층을 현상함으로써 형성되는, 단계;
    릴리프 구조체 상에 중합체 혼합물을 증착하는 단계로서, 중합체 혼합물은 릴리프 패턴에 의해 정의되는 개구를 충전하며 릴리프 구조체를 덮고, 릴리프 구조체의 상부 표면 위의 영역은 오버버든(overburden) 영역이고, 중합체 혼합물은 제1 중합체 및 제2 중합체를 포함하고, 중합체 혼합물은 중력에 대해 자가-분리되어 중합체 혼합물로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 중합체를 포함하는 제2 필름 아래에 형성된 제1 중합체를 포함하고, 제1 필름 및 제2 필름은 제2 필름이 오버버든 영역을 충전하도록 형성되고, 제1 필름은 제2 용해도-변화제에 민감성이며 포토레지스트의 감도 임계치보다 작은 감도 임계치를 갖고, 제2 필름은 제1 현상제에 가용성인, 단계;
    제2 용해도-변화제를 활성화하고 제2 용해도-변화제를 릴리프 구조체로부터 제1 필름 내로 미리 결정된 확산 길이로 확산시키는 단계로서, 제2 용해도-변화제는 포토레지스트의 감도 임계치를 충족하지 않고서 제1 필름의 감도 임계치를 충족하도록 충분히 활성화되고, 제1 필름에 형성된 확산 영역은 제1 현상제에 가용성이 되는 반면, 포토레지스트는 제1 현상제에 불용성으로 남아 있는, 단계; 및
    기판을 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 중합체 혼합물은 스핀-코팅 증착을 통해 증착되는, 방법.
  3. 제1항에 있어서, 중합체 혼합물은 기판 상에 증착될 때 자가-분리되는, 방법.
  4. 제3항에 있어서, 제2 필름은 자가-분리 시에 릴리프 구조체의 상부 표면 아래로 연장되는, 방법.
  5. 제3항에 있어서, 중합체 혼합물은 열에 반응하여 자가-분리되고, 방법은 릴리프 구조체 상에 중합체 혼합물을 증착하는 단계 후에 열 베이크를 수행하는 단계를 추가로 포함하는, 방법.
  6. 제1항에 있어서, 기판을 현상하는 단계는 기판을 제1 현상제로 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 추가로 포함하는, 방법.
  7. 제1항에 있어서,
    제2 필름은 제2 현상제에 가용성이고,
    기판을 현상하는 단계는 기판을 제1 현상제로 현상하여 제1 필름의 가용성 확산 영역을 제거하기 전에 기판을 제2 현상제로 현상하여 제2 필름을 제거하는 단계를 추가로 포함하는, 방법.
  8. 제7항에 있어서, 제2 필름은 제2 용해도-변화제를 활성화한 후에 제거되는, 방법.
  9. 제7항에 있어서, 제2 필름은 제2 용해도-변화제를 활성화하기 전에 제거되는, 방법.
  10. 제1항에 있어서, 제2 중합체의 재료는 HFIP-M, MA-MIB-HFA, MA-BTHB-OH, MA-3,5-HFA-CHOH, MA-BTHB-NB, MA-EATf, 및 MA-DM-EATf를 포함하는, 방법.
  11. 기판을 패터닝하는 방법으로서,
    기판 상의 포토레지스트의 제1 층으로부터 기판 상의 릴리프 구조체를 포함하는 릴리프 패턴을 형성하는 단계로서, 포토레지스트의 제1 층은 제1 용해도-변화제 및 제2 용해도-변화제를 포함하고, 포토레지스트는 제1 용해도-변화제 및 제2 용해도-변화제에 대한 감도를 갖고, 릴리프 패턴은 화학 방사선의 패턴을 사용하여 제1 용해도-변화제를 활성화하고 포토레지스트의 제1 층을 현상함으로써 형성되는, 단계;
    릴리프 구조체 상에 수지 오버코트를 증착하는 단계로서, 수지 오버코트는 릴리프 패턴에 의해 정의되는 개구를 충전하며 릴리프 구조체를 덮고, 릴리프 구조체의 상부 표면 위의 영역은 오버버든 영역이고, 수지 오버코트는 제1 수지 및 제2 수지를 포함하는 수지 혼합물을 갖고, 수지 오버코트는 중력에 대해 자가-분리되어 수지 오버코트로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 수지를 포함하는 제2 필름 아래에 형성된 제1 수지를 포함하고, 제1 필름 및 제2 필름은 제2 필름이 오버버든 영역을 충전하도록 형성되고, 제1 필름은 제2 용해도-변화제에 민감성이며 포토레지스트의 감도 임계치보다 작은 감도 임계치를 갖고, 제2 필름은 제1 현상제에 가용성인, 단계;
    제2 용해도-변화제를 활성화하고 제2 용해도-변화제를 릴리프 구조체로부터 제1 필름 내로 미리 결정된 확산 길이로 확산시키는 단계로서, 제2 용해도-변화제는 포토레지스트의 감도 임계치를 충족하지 않고서 제1 필름의 감도 임계치를 충족하도록 충분히 활성화되고, 제1 필름에 형성된 확산 영역은 제1 현상제에 가용성이 되는 반면, 포토레지스트는 제1 현상제에 불용성으로 남아 있는, 단계; 및
    기판을 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 포함하는, 방법.
  12. 제11항에 있어서, 수지 오버코트는 스핀-코팅 증착을 통해 증착되는, 방법.
  13. 제11항에 있어서, 수지 오버코트는 기판 상에 증착될 때 자가-분리되는, 방법.
  14. 제13항에 있어서, 제2 필름은 자가-분리 시에 릴리프 구조체의 상부 표면 아래로 연장되는, 방법.
  15. 제13항에 있어서, 수지 오버코트는 열에 반응하여 자가-분리되고, 방법은 릴리프 구조체 상에 수지 오버코트를 증착하는 단계 후에 열 베이크를 수행하는 단계를 추가로 포함하는, 방법.
  16. 제11항에 있어서, 기판을 현상하는 단계는 기판을 제1 현상제로 현상하여 제1 필름의 가용성 확산 영역 및 제2 필름을 제거하는 단계를 추가로 포함하는, 방법.
  17. 제11항에 있어서,
    제2 필름은 제2 현상제에 가용성이고,
    기판을 현상하는 단계는 기판을 제1 현상제로 현상하여 제1 필름의 가용성 확산 영역을 제거하기 전에 기판을 제2 현상제로 현상하여 제2 필름을 제거하는 단계를 추가로 포함하는, 방법.
  18. 제17항에 있어서, 제2 필름은 제2 용해도-변화제를 활성화한 후에 제거되는, 방법.
  19. 제17항에 있어서, 제2 필름은 제2 용해도-변화제를 활성화하기 전에 제거되는, 방법.
  20. 기판을 패터닝하는 방법으로서,
    부분적으로 충전될 개구를 정의하는 특징부를 갖는 기판을 수용하는 단계;
    기판 상에 중합체 혼합물을 증착하는 단계로서, 중합체 혼합물은 특징부에 의해 정의되는 개구를 충전하며 특징부를 덮고, 특징부의 상부 표면 위의 영역은 오버버든 영역이고, 중합체 혼합물은 제1 중합체 및 제2 중합체를 포함하고, 중합체 혼합물은 중력에 대해 자가-분리되어 중합체 혼합물로부터 제1 필름 및 제2 필름이 형성되도록 구성되고, 제1 필름은 제2 중합체를 포함하는 제2 필름 아래에 형성된 제1 중합체를 포함하고, 제1 필름 및 제2 필름은 제1 필름이 정의된 개구를 부분적으로 충전하면서 제2 필름이 정의된 개구의 나머지 부분을 충전하며 오버버든 영역을 충전하도록 형성되고, 제2 필름은 특정 용매에 민감성인 반면, 제1 필름은 특정 용매에 불용성인, 단계; 및
    기판을 특정 용매로 현상하여 제2 필름을 제거하는 단계로서, 제1 필름은 정의된 개구 내에 남아 있는, 단계를 포함하는, 방법.
KR1020237045120A 2021-06-02 2022-05-26 이중층 시스템을 사용한 향상된 무동결 안티-스페이서 형성을 통해 재료 오버버든을 제거하는 방법 KR20240016336A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163195756P 2021-06-02 2021-06-02
US63/195,756 2021-06-02
PCT/US2022/031123 WO2022256224A1 (en) 2021-06-02 2022-05-26 Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system

Publications (1)

Publication Number Publication Date
KR20240016336A true KR20240016336A (ko) 2024-02-06

Family

ID=84284806

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237045120A KR20240016336A (ko) 2021-06-02 2022-05-26 이중층 시스템을 사용한 향상된 무동결 안티-스페이서 형성을 통해 재료 오버버든을 제거하는 방법

Country Status (5)

Country Link
US (1) US20220388232A1 (ko)
KR (1) KR20240016336A (ko)
CN (1) CN117546271A (ko)
TW (1) TW202314793A (ko)
WO (1) WO2022256224A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7314691B2 (en) * 2004-04-08 2008-01-01 Samsung Electronics Co., Ltd. Mask pattern for semiconductor device fabrication, method of forming the same, method for preparing coating composition for fine pattern formation, and method of fabricating semiconductor device
KR100913005B1 (ko) * 2006-10-31 2009-08-20 주식회사 하이닉스반도체 마스크 패턴 형성 방법
US8492282B2 (en) * 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
JP2014182187A (ja) * 2013-03-18 2014-09-29 Sony Corp レジスト組成物及び半導体装置の製造方法
JP6464413B2 (ja) * 2014-04-10 2019-02-06 東京エレクトロン株式会社 基板の複数の接触開口をパターニングする方法

Also Published As

Publication number Publication date
CN117546271A (zh) 2024-02-09
US20220388232A1 (en) 2022-12-08
WO2022256224A1 (en) 2022-12-08
TW202314793A (zh) 2023-04-01

Similar Documents

Publication Publication Date Title
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
TWI449084B (zh) 形成電子裝置之方法
TWI442453B (zh) 形成電子裝置之方法
KR101439394B1 (ko) 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
TWI585822B (zh) 基板上之接觸窗開口的圖案化方法
US7851125B2 (en) Mask pattern for semiconductor device fabrication, method of forming the same, and method of fabricating finely patterned semiconductor device
US20050227492A1 (en) Mask pattern for semiconductor device fabrication, method of forming the same, and method of fabricating finely patterned semiconductor device
JP2011065136A5 (ko)
US11393694B2 (en) Method for planarization of organic films
US9263297B2 (en) Method for self-aligned double patterning without atomic layer deposition
US9583381B2 (en) Methods for forming semiconductor devices and semiconductor device structures
US11656550B2 (en) Controlling semiconductor film thickness
KR20240016336A (ko) 이중층 시스템을 사용한 향상된 무동결 안티-스페이서 형성을 통해 재료 오버버든을 제거하는 방법
US20230251570A1 (en) Selective Deprotection via Dye Diffusion
US11682559B2 (en) Method to form narrow slot contacts
US20240085795A1 (en) Patterning a semiconductor workpiece
US11747733B2 (en) Freeze-less methods for self-aligned double patterning
US20240168384A1 (en) Patterning Semiconductor Features
TW202307957A (zh) 乾溼雙層光阻