WO2009102133A2 - 배치형 원자층 증착 장치 - Google Patents

배치형 원자층 증착 장치 Download PDF

Info

Publication number
WO2009102133A2
WO2009102133A2 PCT/KR2009/000607 KR2009000607W WO2009102133A2 WO 2009102133 A2 WO2009102133 A2 WO 2009102133A2 KR 2009000607 W KR2009000607 W KR 2009000607W WO 2009102133 A2 WO2009102133 A2 WO 2009102133A2
Authority
WO
WIPO (PCT)
Prior art keywords
gas
substrate
gas injection
atomic layer
layer deposition
Prior art date
Application number
PCT/KR2009/000607
Other languages
English (en)
French (fr)
Other versions
WO2009102133A3 (ko
Inventor
Kyu-Jeong Choi
Original Assignee
Kyu-Jeong Choi
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kyu-Jeong Choi filed Critical Kyu-Jeong Choi
Priority to US12/866,991 priority Critical patent/US20100326358A1/en
Priority to JP2010545810A priority patent/JP5177591B2/ja
Priority to EP09710337A priority patent/EP2249379B1/en
Publication of WO2009102133A2 publication Critical patent/WO2009102133A2/ko
Publication of WO2009102133A3 publication Critical patent/WO2009102133A3/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally

Definitions

  • the present invention relates to a batch type atomic layer deposition apparatus that processes a plurality of substrates in a batch, and more particularly, to process a plurality of substrates in a batch to complete an atomic layer deposition process for each substrate to be processed while having excellent throughput. It relates to a batch atomic layer deposition apparatus that can be performed easily.
  • a semiconductor device, a flat panel display device, and the like go through various manufacturing processes, and among them, a process of depositing a thin film required on a substrate such as a wafer or glass is inevitably performed.
  • a thin film deposition process sputtering, chemical vapor deposition (CVD), atomic layer deposition (ALD) and the like are mainly used.
  • the sputtering method injects an inert gas such as argon into the vacuum chamber while a high voltage is applied to the target to generate argon ions in the plasma state. At this time, argon ions are sputtered on the surface of the target, and atoms of the target are separated from the surface of the target and deposited on the substrate.
  • an inert gas such as argon
  • the sputtering method can form a high purity thin film having excellent adhesion with the substrate.
  • it is very difficult to secure uniformity over the entire thin film. There is a limit to the application.
  • chemical vapor deposition is the most widely used deposition technique, and is a method of depositing a thin film having a required thickness on a substrate using a reaction gas and decomposition gas.
  • chemical vapor deposition first deposits a variety of gases into a reaction chamber and deposits a thin film of the required thickness on a substrate by chemically reacting gases induced by high energy such as heat, light or plasma.
  • the chemical vapor deposition method increases the deposition rate by controlling the reaction conditions through the ratio and amount of plasma or gases applied by the reaction energy.
  • atomic layer deposition is a method for depositing atomic layer unit thin films by supplying source gas (reaction gas) and purge gas alternately.
  • the thin film formed thereby has a high aspect ratio, is uniform even at low pressure, and has electrical and physical characteristics. great.
  • an apparatus for performing the atomic layer deposition method there are a batch type apparatus which processes a plurality of substrates in a batch, and a sheet type apparatus which processes a process by loading substrates one by one in a chamber.
  • the conventional single wafer type device processes the substrates one by one, which causes a low throughput of the device.
  • the batch type device has a problem that the deposition efficiency is lowered and the film quality is lowered because the batch process is performed in a state in which a plurality of substrates are stacked in one chamber.
  • the technical problem to be solved by the present invention is to provide a batch atomic layer deposition apparatus having excellent batch efficiency by having a batch structure and excellent independent deposition of atomic layer for each processed substrate.
  • the batch atomic layer deposition apparatus of the present invention for solving the above technical problem, the chamber capable of forming a vacuum therein; A substrate placement table positioned in the chamber and having a plurality of substrates spaced apart at regular intervals; Substrate moving means for moving the substrate placement table in the vertical direction; Gas injection means for continuously injecting gas in a direction parallel to the substrate stacked on the substrate placing table; And gas discharge means provided on one side of the chamber, which is opposite to the gas injection means, for suctioning and discharging the gas injected by the gas injection means.
  • the substrate moving means moves the substrate mounting table by the interval at which the substrates are stacked, and moves them periodically, so that the atomic layer deposition process can be performed accurately while the process gas is continuously sprayed.
  • the substrate jetting means is composed of at least one gas jetting block composed of a plurality of independently driven gas jetting layers, so that the process conditions of the atomic layer deposition process can be freely changed.
  • the gas injection block may include a structure in which a first purge gas injection layer, a first reaction gas injection layer, a second purge gas injection layer, a second reaction gas injection layer, and a third purge gas injection layer are sequentially formed. Can be.
  • the said 1st, 2nd, 3rd purge gas injection layer is formed in two or more layers since it can reliably separate a 1st reaction gas injection layer and a 2nd reaction gas injection layer.
  • the gas discharge means may be composed of one outlet port covering the entire gas injection layer of the gas injection means
  • It may be composed of a plurality of outlets that are independently driven corresponding to each gas injection block,
  • It may be composed of a plurality of outlets that are independently driven corresponding to each gas injection unit consisting of a purge gas injection layer, a reactive gas injection layer, a purge gas injection layer in order,
  • It may be composed of a plurality of outlets that are independently driven corresponding to each gas injection layer.
  • the gas injection means is preferable because it is possible to perform a uniform deposition process for all the portions of the substrate to be treated that the arc shape surrounding the outside of the substrate mounting table.
  • the said gas discharge means is also an arc shape which wraps the outer side of the said board
  • the substrate placing table further includes heating means for heating the substrate, since the process conditions can be easily changed.
  • substrate mounting board has a buffer layer of several layers in which a board
  • substrate mounting stand further includes the board
  • a blocking plate surrounding the substrate placing table is further provided between the gas injecting means and the gas discharging means to prevent the diffusion of the reaction gas into the chamber to obtain a better film quality.
  • a protective cover may be further provided on the gas ejection means and the gas discharge means to protect the substrate by incorporating the substrate mounting table.
  • the auxiliary gas discharge means for suctioning and discharging the gas in the chamber may be further provided.
  • the throughput is excellent because the batch processing of a plurality of substrates in a batch.
  • the deposition efficiency is excellent and the film quality is excellent.
  • FIG. 1 is a cross-sectional view showing the structure of a batch atomic layer deposition apparatus according to an embodiment of the present invention.
  • Figure 2 is a partially enlarged view showing the structure of the gas injection means and the gas discharge means according to an embodiment of the present invention.
  • FIG 3 is a plan view showing the positional relationship between the gas injection means, the gas discharge means and the substrate mounting base according to an embodiment of the present invention.
  • FIG. 4 is a view showing a modification of the gas injection means according to an embodiment of the present invention.
  • FIG. 5 is a view showing a modification of the gas injection means according to an embodiment of the present invention.
  • the batch type atomic layer deposition apparatus 1 includes a chamber 10, a substrate placing table 20, a substrate moving unit 30, a gas injection unit 40, and a gas. Discharge means 50 is included.
  • the chamber 10 has a predetermined space therein, and has a structure capable of maintaining the internal space in a vacuum state. Therefore, the chamber 50 is provided with a high vacuum pump 60 capable of discharging the gas inside the chamber, and a venting device (not shown in the drawing) capable of injecting gas into the chamber 10 may be provided. In addition, a temperature control means (not shown) may be further provided to control the temperature inside the chamber 10.
  • the chamber 10 is provided with a cassette inlet (not shown) for carrying in a cassette in which a plurality of substrates are stacked into the chamber, and for carrying out a cassette in the chamber. Blocked by a gate valve (not shown in the figure) to maintain the vacuum inside.
  • the substrate placing table 20 is located in the chamber 10, and a plurality of substrates are components stacked at regular intervals.
  • the substrate placement table 20 may be a cassette for carrying the substrate into the chamber, or may be a separate device provided in the chamber 10.
  • the spacing between all the substrates stacked on the substrate mounting table 20 should be kept the same, and the spacing between the substrates is important in ensuring the accuracy of the process.
  • the spacing between these substrates should exactly match the spacing between the gas injection means 40 described later.
  • the substrate mounting table 20 may be further provided with a substrate rotating means (not shown in the figure) capable of independently rotating each stacked substrate.
  • the substrate rotating means rotates the substrate at a constant speed during the process so that a uniform thin film is formed on the substrate.
  • the substrate rotating means may not be provided.
  • the substrate placing table may further include heating means (not shown in the drawing) capable of heating the substrate placed thereon. Temperature is a very important factor in the atomic layer deposition process, and the heating means can be used to precisely control the temperature of the substrate during the process. Of course, by controlling the temperature inside the chamber, the temperature of the substrate may be indirectly controlled.
  • the substrate placement table 20 preferably has a plurality of buffer layers 20a on which upper and lower substrates are not filled.
  • the substrate is not filled in the buffer layer 20a, for the purpose of preventing the inside of the chamber 10 from being contaminated by the reaction gas. That is, when the substrate placement table 20 moves up and down, and the substrate placement table 20 moves to the top or bottom side, if there is no buffer layer, the gas injection means 40 and the gas discharge means ( This is because there is a possibility that the space between 50) is opened so that the reaction gas is mixed or diffused into the chamber 10.
  • the batch type atomic layer deposition apparatus 1 further includes a substrate moving unit 30, which moves the substrate placing table 20 in the vertical direction. Element.
  • the substrate moving means 30 can move the substrate placing table 20 up and down continuously. In this case, the atomic layer deposition process is performed two cycles in the process of the substrate mounting table 20 up-down.
  • the substrate moving means 30 may move the substrate mounting table 20 by period by cutting the substrate stacked intervals.
  • the movement by period does not move the substrate placement table 20 up and down continuously at a constant speed, but instead of moving the substrate placement table 20 at a specific position, and stops for a predetermined period and then passes the period. It's a way of moving again.
  • the time for the substrate holder to stay at a specific position may be variously adjusted for an optimal process condition.
  • the substrate when the substrate is moved up and down by period, all the stacked substrates are moved to the substrate mounting table while moving the space filled with the first reactive gas, the space filled with the purging gas, and the space filled with the second reactive gas at regular intervals.
  • the atomic layer deposition process can be performed independently on the substrate.
  • this substrate moving means all the substrates in the batch atomic layer deposition apparatus according to the present embodiment can be processed collectively.
  • the reaction gas is supplied for a predetermined time and then the purging gas is supplied.
  • the substrate is held for a predetermined time in a space where the reaction gas is continuously supplied.
  • the atomic layer deposition process is performed by moving to the space where the purging gas is supplied.
  • the gas ejection means 40 is provided in a plurality of layers on one side of the substrate placing table 20 in the chamber 10, and the gas is sprayed in a direction parallel to the substrate stacked on the substrate placing table 20. It is a component that sprays continuously.
  • the gas injection means 40 may be composed of a plurality of gas injection blocks, which may be composed of a plurality of gas injection layers driven independently.
  • each gas injection layer may independently inject different gases, and may freely adjust the pressure of the injected gas.
  • Each layer is provided with a gas injection nozzle separately, each of which may inject a different gas.
  • the direction of injecting the gas is a direction parallel to the surface of the substrate, it is preferable to inject the gas at a significant pressure, so that the direction of movement of the gas to be injected does not change, and maintain the injection direction.
  • This gas injection means 40 is connected to the gas source 70 disposed outside the chamber 10, as shown in FIG.
  • the gas source 70 may be composed of, for example, a purging gas source 70a, a first reaction gas source 70b, a second reaction gas source 70c, and the like. It is connected to the injection means 40.
  • one gas injection block of the present embodiment may include, for example, a first purge gas injection layer 40a, a first reactive gas injection layer 40b, and a second purge gas injection layer, as illustrated in FIG. 2.
  • 40c, the second reaction gas injection layer 40d, and the third purge gas injection layer 40e may be sequentially formed. That is, a purge gas spray layer must be disposed between the first reactant gas spray layer 40b and the second reactant gas spray layer 40d, and the lower portion of the first reactant gas spray layer 40b and the second reactant gas spray layer
  • a purge gas injection layer must be disposed on the upper portion 40d to form a gas curtain so that the first reaction gas and the second reaction gas do not leave the movement path.
  • the injected reactive gas is not diffused into the outflow or other space, but is used in the atomic layer deposition process, and the reactive gas used is completely discharged by the gas discharge means. It can be.
  • the first, second, and third purge gas injection layers 40a, 40c, and 40e may be formed of two or more layers, as shown in FIG. 2. This is to make the interlayer blocking of the reaction gas more secure.
  • there is a reactive gas spray layer in the center and a structure in which the purge gas spray layers are disposed above and below the reaction gas spray layer is formed to form a separate reactive gas layer without spreading the reaction gas injected by the reactive gas spray layer in the center.
  • a purge gas injection layer should be disposed above and below each reaction gas injection layer.
  • the gas injection means 40 of this embodiment is an arc shape surrounding the outer side of the board
  • the front view has a structure in which a plurality of injection nozzles 42 are arranged in a long line as shown in FIG. 4, or as shown in FIG. 5, a long rod-shaped nozzle 44 is provided. May be
  • the gas discharge means 50 is provided in a plurality of layers on one side of the chamber 10 that faces the gas injection means 40 to suck and discharge the gas injected by the gas injection means 40.
  • the gas discharge means 50 may be composed of one discharge port covering the entire gas injection layer of the gas injection means 40, or may be composed of a plurality of discharge ports independently driven corresponding to each gas injection block. It may be composed of a plurality of outlets that are independently driven corresponding to each gas injection unit consisting of a purge gas injection layer, a reactive gas injection layer, a purge gas injection layer, or a plurality of independently driven corresponding to each gas injection layer It may also consist of two outlets. At this time, each outlet can be driven independently.
  • the gas discharge means 50 may be made of six layers in the same manner. And the gas discharge means 50 should be able to strongly inhale and discharge at least the amount of gas injected from the gas injection means 40.
  • the gas discharging means 50 is preferably formed in an arc shape surrounding the outside of the substrate placing table 20.
  • the lowermost layer may be made of a purging gas section, the upper layer of the first reaction gas section, the upper layer of the first purging gas section, the positioning of the second reaction gas section, and the upper layer of the purging gas section.
  • the gas is continuously injected in an independent gas space, and the atomic layer deposition process is performed while moving the substrate into the gas space at regular intervals. That is, conventionally, if the atomic layer deposition process was performed by injecting the reaction gas in each cycle, in this embodiment, the reaction gas continues to be headquarters, the substrate moves in the reaction gas section, and the atomic layer deposition process is performed.
  • the gas injection means 40 and the gas discharge means 50 is preferably in close contact with the substrate mounting table 20 as possible.
  • the substrate placing table 20 moves up and down, it should be spaced apart so as not to disturb it, but as shown in FIG. It is preferable to prevent the gas from moving to another layer.
  • a blocking plate 80 surrounding the substrate placing table 20 is further provided between the gas ejection means 40 and the gas exhaust means 50. It is preferred to be provided.
  • the gas may diffuse into another space in the chamber 10. The gas diffused in this way may affect the substrate in the process or the substrate before and after the substrate treatment while staying in the chamber. Therefore, as shown in FIG. 3, the blocking plate 80 is disposed in the space between the gas injection means 40 and the gas discharge means 50 to prevent the diffusion of gas.
  • the blocking plate 80 is installed to be movable so as not to interfere with the loading or unloading of the substrate or substrate placing table 20.
  • a protective cover 90 for embedding the substrate mounting table 20 to protect the substrate is further provided.
  • the substrate placing table 20 moves up and down, and the process proceeds, the substrate raised above the height of the gas jetting means 40 is exposed to the open space.
  • no gas should be present in the open space, but some reactant gases may be present, and other particles may be present.
  • the protective cover is further provided to prevent the substrate treated by the gas or particles from being affected.
  • the atomic layer deposition process is performed while the substrate stacked on the substrate placing table 20 moves up and down, but the substrate is stopped while being stacked on the substrate placing table 20, and the gas injection means 40 and As the gas discharge means 50 moves in the vertical direction, the atomic layer deposition process may be performed.
  • a process of forming a ZrO 2 layer on a substrate will be described as an example.
  • a Zr source, an O 3 source, and a N 2 source as a purge gas are first required. Therefore, a Zr supply source is used as the first reaction gas supply source, an O 3 supply source is used as the second reaction gas supply source, and an N 2 supply source is used as the purging gas supply source, respectively.
  • N 2 sources are connected in the first, second, and third purging gas injection layers to inject nitrogen gas, Zr gas is injected into the first reaction gas injection layer, and O 3 gas is injected in the second reaction gas injection layer.
  • the substrate placing table 20 in which a plurality of substrates are stacked is moved upward by the substrate moving means and passes through each gas spray layer.
  • the substrate of the uppermost layer passes through the first purging gas layer 40a, and sequentially passes through the first reactive gas layer 40b, the second purging gas layer 40c, the second reactive gas layer 40d, and the third purging gas layer 40e. While one cycle of atomic layer deposition proceeds.
  • the substrate placing table 20 may be moved downward while the gas injection is stopped, and the above-described atomic layer deposition process may be repeated.

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 복수개의 기판을 일괄적으로 처리하여 쓰루풋이 우수하면서도 처리되는 각 기판마다 원자층 증착 공정을 완벽하게 수행할 수 있는 배치형 원자층 증착 장치에 관한 것으로서, 본 발명의 배치형 원자층 증착장치는, 내부에 진공을 형성할 수 있는 챔버; 상기 챔버 내에 위치하며, 다수개의 기판이 일정한 간격으로 이격되어 적층되는 기판 재치대; 상기 기판 재치대를 상하 방향으로 이동시키는 기판 이동수단; 상기 기판 재치대에 적층되어 있는 기판과 평행한 방향으로 기체를 연속적으로 분사하는 기체 분사 수단; 상기 챔버 내부 중 상기 기체 분사 수단과 대향되는 일측에 마련되어, 상기 기체 분사 수단에 의하여 분사된 기체를 흡입 배출하는 기체 배출수단;을 포함한다.

Description

[규칙 제26조에 의한 보정] 배치형 원자층 증착 장치
본 발명은 복수개의 기판을 일괄적으로 처리하는 배치형 원자층 증착 장치에 관한 것으로서, 보다 상세하게는 복수개의 기판을 일괄적으로 처리하여 쓰루풋이 우수하면서도 처리되는 각 기판마다 원자층 증착 공정을 완벽하게 수행할 수 있는 배치형 원자층 증착 장치에 관한 것이다.
일반적으로 반도체 소자나 평판 디스플레이 장치 등의 제조에는 다양한 제조공정을 거치게 되며, 그 중에서 웨이퍼나 글래스 등의 기판 상에 필요한 박막을 증착시키는 공정이 필수적으로 진행된다. 이러한 박막 증착 공정에서는 스퍼터링법(Sputtering), 화학기상 증착법(CVD : Chemical Vapor Deposition), 원자층 증착법(ALD : Atomic Layer Deposition) 등이 주로 사용된다.
먼저 스퍼터링법은 플라즈마 상태에서 아르곤 이온을 생성시키기 위해 고전압을 타겟에 인가한 상태에서 아르곤 등의 비활성 가스를 진공 챔버 내로 주입시킨다. 이때, 아르곤 이온들은 타겟의 표면에 스퍼터링되고, 타겟의 원자들은 타겟의 표면으로부터 이탈되어 기판에 증착된다.
이러한 스퍼터링법에 의해 기판과 접착성이 우수한 고순도 박막을 형성할 수 있으나, 공정 차이를 갖는 고집적 박막을 스퍼터링법으로 증착하는 경우에는 전체 박막 위에서 균일도를 확보하기가 매우 어려워 미세한 패턴을 위한 스퍼터링법의 적용에는 한계가 있다.
다음으로 화학기상증착법은 가장 널리 이용되는 증착 기술로서, 반응가스와 분해가스를 이용하여 요구되는 두께를 갖는 박막을 기판 상에 증착하는 방법이다. 예컨데, 화학기상증착법은 먼저 다양한 가스들을 반응 챔버로 주입시키고, 열, 빛 또는 플라즈마와 같은 고에너지에 의해 유도된 가스들을 화학반응시킴으로써 기판 상에 요구되는 두께의 박막을 증착시킨다.
아울러 화학기상증착법에서는 반응에너지만큼 인가된 플라즈마 또는 가스들의 비(ratio) 및 양(amount)을 통해 반응 조건을 제어함으로써, 증착률을 증가시킨다.
그러나 화학기상증착법에서는 반응들이 빠르기 때문에 원자들의 열역학적(thermodynamic) 안정성을 제어하기 매우 어렵고, 박막의 물리적, 화학적 전기적 특성을 저하시키는 문제점이 있다.
마지막으로 원자층 증착법은 소스가스(반응가스)와 퍼지가스를 교대로 공급하여 원자층 단위의 박막을 증착하기 위한 방법으로서, 이에 의해 형성된 박막은 고종횡비를 갖고 저압에서도 균일하며, 전기적 물리적 특성이 우수하다.
최근에는 화학기상증착법이 매우 큰 종횡비(Aspect ratio)를 갖는 구조에는 단차피복성(Step coverage)의 한계로 적용이 어렵기 때문에, 이러한 단차피복성의 한계를 극복하기 위해 표면 반응을 이용한 원자층 증착법이 적용되고 있다.
이러한 원자층 증착법을 수행하는 장치로는 복수의 기판을 일괄적으로 처리하는 배치(batch)방식의 장치와 챔버 내에 기판을 하나씩 로딩하면서 공정을 진행하는 매엽방식의 장치가 있다.
그런데 종래의 매엽식 장치는 기판을 하나씩 처리하므로 장치의 쓰루풋(throughput)이 낮은 문제점이 있다. 한편 배치 방식의 장치는 하나의 챔버 내에 다수개의 기판을 적층한 상태에서 일괄적으로 공정을 진행하므로 증착 효율이 떨어지고 막질이 낮아지는 문제점이 있다.
따라서 쓰루풋이 우수하면서도 형성되는 박막의 막질이 우수하고 증착 효율이 높은 원자층 증착장치의 개발이 절실하게 요구되고 있다.
본 발명이 해결하고자 하는 기술적 과제는 배치형 구조를 가져서 쓰루풋이 우수하면서도, 처리되는 기판마다 독립적인 원자층 증착이 이루어지도록 하여 증착 효율과 막질이 우수한 배치형 원자층 증착장치를 제공하는 것이다.
전술한 기술적 과제를 해결하기 위한 본 발명의 배치형 원자층 증착장치는, 내부에 진공을 형성할 수 있는 챔버; 상기 챔버 내에 위치하며, 다수개의 기판이 일정한 간격으로 이격되어 적층되는 기판 재치대; 상기 기판 재치대를 상하 방향으로 이동시키는 기판 이동수단; 상기 기판 재치대에 적층되어 있는 기판과 평행한 방향으로 기체를 연속적으로 분사하는 기체 분사 수단; 상기 챔버 내부 중 상기 기체 분사 수단과 대향되는 일측에 마련되어, 상기 기체 분사 수단에 의하여 분사된 기체를 흡입 배출하는 기체 배출수단;을 포함한다.
본 발명에서 상기 기판 이동수단은, 상기 기판 재치대를 상기 기판이 적층된 간격 만큼 끊어서 주기별로 이동시키는 것이, 공정 가스가 연속적으로 분사되면서도 원자층 증착 과정을 정확하게 진행할 수 있어서 바람직하다.
그리고 본 발명에서 상기 기판 분사 수단은, 독립적으로 구동되는 가스 분사층 다수개로 이루어진 적어도 하나의 기체 분사 블럭으로 이루어진 것이, 원자층 증착 공정의 공정 조건을 자유롭게 변화시킬 수 있어서 바람직하다.
여기에서 상기 기체 분사 블럭은, 제1 퍼지 가스 분사층, 제1 반응 가스 분사층, 제2 퍼지 가스 분사층, 제2 반응 가스 분사층, 제3 퍼지 가스 분사층이 순차적으로 형성되는 구조로 이루어질 수 있다.
또한 상기 제1, 2, 3 퍼지 가스 분사층은 2 층 이상으로 형성되는 것이, 제1 반응 가스 분사층과 제2 반응 가스 분사층을 확실하게 분리할 수 있어서 바람직하다.
그리고 본 발명에서 상기 기체 배출 수단은, 상기 기체 분사 수단의 전체 가스 분사층을 포괄하는 하나의 배출구로 구성될 수도 있고,
각 기체 분사 블럭 별로 대응되어 독립적으로 구동되는 다수개의 배출구로 구성될 수도 있고,
퍼지 가스 분사층, 반응 가스 분사층, 퍼지 가스 분사층 순으로 이루어진 가스 분사 유닛 별로 대응되어 독립적으로 구동되는 다수개의 배출구로 구성될 수도 있고,
각 가스 분사층 별로 대응되어 독립적으로 구동되는 다수개의 배출구로 구성될 수도 있다.
또한 상기 가스 분사 수단은, 상기 기판 재치대의 외측을 감싸는 호 형상인 것이 처리되는 기판의 모든 부분에 대하여 균일한 증착 공정을 수행할 수 있어서 바람직하다.
또한 상기 가스 배출 수단도, 상기 가스 분사 수단과 마찬가지로 상기 기판 재치대의 외측을 감싸는 호 형상인 것이 바람직하다.
한편 상기 기판 재치대는 기판을 가열하는 가열 수단을 더 포함하는 것이, 공정 조건을 용이하게 변화시킬 수 있어서 바람직하다.
그리고 상기 기판 재치대는, 상단과 하단에 각각 기판이 채워지지 않는 다수층의 버퍼층을 가지는 것이 바람직하다.
또한 상기 기판 재치대는, 그 상면에 재치된 기판을 회전시키는 기판 회전수단을 더 포함하는 것이, 균일한 박막을 기판에 형성할 수 있어서 바람직하다.
상기 가스 분사 수단과 상기 가스 배출 수단 사이에는 상기 기판 재치대를 감싸는 차단 플레이트가 더 구비되는 것이, 반응 가스의 챔버 내 확산을 방지하여 보다 우수한 막질을 얻을 수 있어서 바람직하다.
또한 상기 기체 분사 수단 및 기체 배출 수단 상측에는 상기 기판 재치대를 함입하여 기판을 보호하는 보호 커버가 더 구비될 수 있다.
그리고 상기 챔버에 장착되며, 챔버 내부의 기체를 흡입 배출하는 보조 가스 배출 수단이 더 구비될 수 있다.
본 발명에 따르면 배치형으로 다수개의 기판을 일괄적으로 처리하므로 쓰루풋이 우수한 장점이 있다.
또한 다수개의 적층된 기판에 대하여 각 기판마다 독립적으로 원자층 증착법을 시행하므로 증착 효율이 우수하고, 막질이 우수한 장점이 있다.
도 1은 본 발명의 일 실시예에 따른 배치형 원자층 증착장치의 구조를 도시하는 단면도이다.
도 2는 본 발명의 일 실시예에 따른 기체 분사수단과 기체 배출수단의 구조를 도시하는 부분 확대도이다.
도 3은 본 발명의 일 실시예에 따른 기체 분사수단, 기체 배출수단 및 기판 재치대의 위치 관계를 보여주는 평면도이다.
도 4는 본 발명의 일 실시예에 따른 기체 분사수단의 변형예를 보여주는 도면이다.
도 5는 본 발명의 일 실시예에 따른 기체 분사수단의 변형예를 보여주는 도면이다.
이하에서는 첨부된 도면을 참조하여 본 발명의 구체적인 실시예를 상세하게 설명한다.
본 실시예에 따른 배치형 원자층 증착장치(1)는 도 1에 도시된 바와 같이, 챔버(10), 기판 재치대(20), 기판 이동수단(30), 기체 분사수단(40), 기체 배출수단(50)을 포함한다.
먼저 챔버(10)는 내부에 일정한 공간을 가지며, 내부 공간을 진공 상태로 유지할 수 있는 구조를 가진다. 따라서 챔버(50)에는 챔버 내부의 기체를 배출할 수 있는 고진공 펌프(60)가 구비되며, 챔버(10) 내부로 기체를 주입할 수 있는 벤팅 장치(도면에 미도시)도 구비될 수 있다. 또한 챔버(10) 내부의 온도를 조절할 수 있는 온도 조절수단(도면에 미도시)이 더 구비될 수도 있다.
그리고 이 챔버(10)에는 챔버 내부로 다수개의 기판이 적층된 카세트를 반입하고, 챔버 내부의 카세트를 반출할 수 있는 카세트 출입구(도면에 미도시)가 형성되며, 이 카세트 출입구는 공정 처리 동안에 챔버 내부의 진공 유지를 위하여 게이트 밸브(도면에 미도시)에 의하여 차단된다.
다음으로 기판 재치대(20)는 챔버(10) 내에 위치되며, 다수개의 기판이 일정한 간격으로 이격되어 적층되는 구성요소이다. 이 기판 재치대(20)는 기판을 챔버 내부로 반입하기 위한 카세트일 수 있으며, 챔버(10) 내부에 구비되는 별도의 장치일 수도 있다.
여기에서 기판 재치대(20)에 적층되는 모든 기판 사이의 간격은 동일하게 유지되어야 하며, 기판 사이의 간격이 공정의 정확성 확보에 있어서 중요하다. 이 기판 사이의 간격은 후술하는 기체 분사수단(40) 사이의 간격과 정확하게 일치하여야 한다.
한편 이 기판 재치대(20)에는 적층되어 있는 기판 각각을 독립적으로 회전시킬 수 있는 기판 회전수단(도면에 미도시)이 더 구비될 수 있다. 이 기판 회전수단은 공정이 진행되는 동안에 기판을 일정한 속도로 회전시켜 기판 상에 균일한 박막이 형성되게 한다. 물론 이 기판 회전수단은 구비되지 않을 수도 있다.
또한 이 기판 재치대에는 재치되어 있는 기판을 가열할 수 있는 가열 수단(도면에 미도시)이 더 구비될 수도 있다. 원자층 증착공정에서는 온도가 매우 중요한 요소인데, 이 가열 수단을 이용하여 공정 진행 중에 기판의 온도를 정확하게 조절할 수 있는 것이다. 물론 챔버 내부의 온도를 조절함으로써, 기판의 온도를 간접적으로 조절할 수도 있을 것이다.
또한 상기 기판 재치대(20)는 상단과 하단에 각각 기판이 채워지지 않는 다수층의 버퍼층(20a)을 가지는 것이 바람직하다. 이 버퍼층(20a)에는 기판이 채워지지 않는데, 그 이유는 반응가스 등에 의하여 챔버(10) 내부가 오염되는 것을 방지하기 위한 것이다. 즉, 기판 재치대(20)가 상하로 이동하면서 공정이 진행되는 과정에서, 기판 재치대(20)가 최상측이나 최하측으로 이동한 경우에는 버퍼층이 없다면 기체 분사수단(40)과 기체 배출수단(50) 사이의 공간이 개방되어 반응가스가 혼합되거나 챔버(10) 내로 확산될 가능성이 있기 때문이다.
그리고 본 실시예에 따른 배치형 원자층 증착장치(1)에는 기판 이동수단(30)이 더 구비되는 데, 이 기판 이동수단(30)은 상기 기판 재치대(20)를 상하 방향으로 이동시키는 구성요소이다. 이 기판 이동수단(30)은, 기판 재치대(20)를 연속적으로 상하 이동시킬 수 있다. 이때, 기판 재치대(20)가 업 다운(up-down)하는 과정에서 원자층 증착 공정이 2 cycle 진행된다.
한편 상기 기판 이동수단(30)은 기판 재치대(20)를, 상기 기판이 적층된 간격 만큼 끊어서 주기별로 이동시킬 수도 있다. 여기에서 주기별로 이동시킨다는 것은, 기판 재치대(20)를 연속적으로 일정한 속도로 상하 이동시키는 것이 아니라, 특정한 위치에서는 기판 재치대(20)를 움직이지 않고, 일정한 주기 동안 정지시키다가 그 주기가 지나면 다시 이동시키는 형태의 이동방식을 말하는 것이다. 이때 기판 재치대가 특정한 위치에서 머무는 시간을 최적의 공정 조건을 위하여 다양하게 조절될 수 있다.
이렇게 주기별로 기판을 상하 이동시키면, 이동되는 기판이 제1 반응 가스가 채워진 공간과 퍼징가스가 채워진 공간 그리고 제2 반응 가스가 채워진 공간 등을 일정한 주기 별로 이동하면서, 기판 재치대에 적층되어 있는 모든 기판에 대하여 원자층 증착 공정이 독립적으로 진행될 수 있는 것이다. 이 기판 이동수단에 의하여 본 실시예에 따른 배치형 원자층 증착장치 내의 모든 기판이 일괄적으로 처리될 수 있는 것이다.
구체적으로 기판 이동수단(30)은, 기판 재치대(20)를 기판 사이의 간격 만큼 이동시킨 후에, 정지상태에서 일정한 시간 동안 머무르다가, 다시 기판 재치대(20)를 기판 사이의 간격 만큼 이동시키는 것이다. 이렇게 기판이 일정 시간 동안 머무는 동안에 공정이 진행되는 것이다.
종래의 원자층 증착장치에서는 일정시간 동안 반응가스를 공급하고 그 다음 퍼징 가스를 공급하는 방식이지만, 이와 달리 본 실시예에서는 반응가스가 연속적으로 공급되는 공간에 일정시간 동안 기판이 머무른 후, 기판이 퍼징가스가 공급되는 공간으로 이동하는 방식에 의하여 원자층 증착 공정이 진행되는 것이다.
다음으로 기체 분사 수단(40)은 상기 챔버(10) 내부 중 상기 기판 재치대(20)의 일측에 다수층으로 마련되어, 상기 기판 재치대(20)에 적층되어 있는 기판과 평행한 방향으로 기체를 연속적으로 분사하는 구성요소이다. 이 기체 분사 수단(40)은 다수개의 가스 분사 블럭으로 이루어질 수 있으며, 이 가스 분사 블럭은 독립적으로 구동되는 다수개의 가스 분사층으로 이루어 질 수 있다. 여기에서 각 가스 분사층은 독립적으로 서로 다른 가스를 분사할 수 있으며, 분사되는 가스의 압력을 자유롭게 조절할 수 있다. 각 층에는 별도로 가스 분사 노즐이 구비되며, 각각 다른 가스를 분사할 수 있다. 이때 가스를 분사하는 방향은 기판 표면과 평행한 방향이며, 상당한 압력으로 가스를 분사하여, 분사되는 가스의 운동방향이 변화되지 않고, 분사방향을 유지하는 것이 바람직하다.
이 기체 분사수단(40)은 도 1에 도시된 바와 같이, 챔버(10) 외부에 배치되는 기체 공급원(70)과 연결된다. 이 기체 공급원(70)은 예를 들어, 퍼징 가스 공급원(70a), 제1 반응가스 공급원(70b), 제2 반응 가스 공급원(70c) 등으로 이루어질 수 있으며, 챔버(10) 벽을 관통하여 기체 분사수단(40)과 연결된다.
또한 구체적으로 본 실시예의 하나의 가스 분사 블럭은, 예를 들어 도 2에 도시된 바와 같이, 제1 퍼지 가스 분사층(40a), 제1 반응 가스 분사층(40b), 제2 퍼지 가스 분사층(40c), 제2 반응 가스 분사층(40d), 제3 퍼지 가스 분사층(40e)이 순차적으로 형성되는 구조일 수 있다. 즉, 제1 반응 가스 분사층(40b)과 제2 반응 가스 분사층(40d) 사이에는 반드시 퍼지 가스 분사층이 배치되어야 하며, 제1 반응 가스 분사층(40b) 하부 및 제2 반응 가스 분사층(40d) 상부에는 반드시 퍼지 가스 분사층이 배치되어 제1 반응 가스 및 제2 반응 가스가 이동 경로를 이탈하지 못하도록 가스 커튼을 형성해야 하는 것이다. 이렇게 반응 가스 분사층을 퍼지 가스 분사층으로 격리함으로써, 분사된 반응 가스가 유출 또는 다른 공간으로 확산되지 않고, 원자층 증착 공정에 사용되며, 사용된 반응 가스는 기체 배출 수단에 의하여 완벽하게 배출될 수 있는 것이다.
한편 제1, 2, 3 퍼지 가스 분사층(40a, 40c, 40e)은 도 2에 도시된 바와 같이, 2 층 이상의 복층으로 형성될 수도 있다. 이는 반응 가스의 층간 차단을 보다 확실하게 하기 위함이다. 여기에서 중앙에 반응 가스 분사층이 있고, 그 상하에 각각 퍼지 가스 분사층이 배치되는 구조는 중앙에 있는 반응 가스 분사층에 의하여 분사되는 반응가스가 외부로 확산되지 않고 분리된 반응 가스층을 형성할 수 있으므로 하나의 가스 분사 유닛으로 정의할 수 있다.
또한 공정에 따라서는 반응 가스 분사층이 3개층 이상으로 구비될 수도 있다. 이러한 경우에도 각 반응 가스 분사층 상하에는 퍼지 가스 분사층이 배치되어야 하는 것이다.
그리고 본 실시예의 기체 분사 수단(40)은 그 평면 형상이 도 3에 도시된 바와 같이, 기판 재치대(20)의 외측을 감싸는 호 형상인 것이 바람직하다. 또한 정면에서 바라본 형상은 도 4에 도시된 바와 같이, 다수개의 분사 노즐(42)이 일렬로 길게 배열된 구조를 가지거나, 도 5에 도시된 바와 같이, 긴 막대 형상의 노즐(44)이 구비될 수도 있다.
다음으로 기체 배출수단(50)은, 상기 챔버(10) 내부 중 상기 기체 분사 수단(40)과 대향되는 일측에 다수층으로 마련되어, 상기 기체 분사 수단(40)에 의하여 분사된 기체를 흡입 배출하는 구성요소이다. 이 기체 배출수단(50)은 상기 기체 분사 수단(40)의 전체 가스 분사층을 포괄하는 하나의 배출구로 구성될 수도 있고, 각 기체 분사 블럭 별로 대응되어 독립적으로 구동되는 다수개의 배출구로 구성될 수도 있고, 퍼지 가스 분사층, 반응 가스 분사층, 퍼지 가스 분사층 순으로 이루어진 가스 분사 유닛 별로 대응되어 독립적으로 구동되는 다수개의 배출구로 구성될 수도 있고, 각 가스 분사층 별로 대응되어 독립적으로 구동되는 다수개의 배출구로 구성될 수도 있다. 이때 각 배출구는 별도로 독립하여 구동될 수 있다.
예를 들어, 기체 분사수단(40)이 6개의 층으로 이루어지면, 기체 배출수단(50)도 동일하게 6개의 층으로 이루어질 수 있다. 그리고 기체 배출수단(50)은 적어도 기체 분사수단(40)에서 분사되는 양 이상의 기체를 강하게 흡입하여 배출할 수 있어야 한다.
이 기체 배출수단(50)도 도 3에 도시된 바와 같이, 상기 기판 재치대(20)의 외측을 감싸는 호 형상으로 이루어지는 것이 바람직하다.
전술한 기체 분사수단(40)과 기체 배출수단(50)에 의하여 각 층마다 독립된 가스 공간이 형성되는 것이다. 예를 들어 가장 아래층은 퍼징가스 구간, 그 위층은 제1 반응 가스 구간, 그 위층은 다시 퍼징가스 구간, 그 위칭은 제2 반응 가스 구간, 그 위층은 다시 퍼징가스 구간으로 이루어질 수 있는 것이다. 이렇게 본 실시예에 따른 배치형 원자층 증착장치(1)에서는 독립된 가스 공간에서는 연속적으로 가스가 분사되고 있으며, 이러한 가스 공간으로 기판을 일정한 주기별로 이동시키면서 원자층 증착 공정을 진행하는 것이다. 즉, 종래에는 반응 가스를 주기별로 주입하여 원자층 증착 공정을 진행했다면, 본 실시예에서는 반응 가스는 계속하여 본사되고, 기판이 반응 가스 구간을 이동하며 원자층 증착 공정이 진행되는 것이다.
한편 상기 기체 분사수단(40)과 기체 배출수단(50)은 최대한 기판 재치대(20)와 밀착되는 것이 바람직하다. 물론, 기판 재치대(20)가 상하로 이동하므로 이를 방해하지 않을 정도로는 이격되어야 하지만, 도 2에 도시된 바와 같이, 최대한 기판 재치대(20)에 밀착하는 것이 기체 분사수단(40)에서 분사된 기체가 다른 층으로 이동하는 것을 방지할 수 있어서 바람직하다.
또한 본 실시예에 따른 배치형 원자층 증착장치(1)에서, 상기 기체 분사 수단(40)과 상기 기체 배출 수단(50) 사이에는 상기 기판 재치대(20)를 감싸는 차단 플레이트(80)가 더 구비되는 것이 바람직하다. 기체 분사 수단(40)과 기체 배출 수단(50) 사이가 이격되어 있는 경우에는 그 이격된 공간에서 기체가 챔버(10) 내 다른 공간으로 확산될 수 있다. 이렇게 확산된 기체는 챔버 내에 머물면서 공정 중의 기판 또는 기판 처리 전후의 기판에 대하여 영향을 미칠 수 있으므로 이를 방지하기 위해서는 기체가 최대한 확산되지 않도록 막아야 하는 것이다. 따라서 차단 플레이트(80)를 도 3에 도시된 바와 같이, 기체 분사수단(40)과 기체 배출수단(50) 사이의 공간에 배치하여 기체의 확산을 방지하는 것이다. 물론 이 차단 플레이트(80)는 이동이 가능하게 설치되어 기판이나 기판 재치대(20)의 반입 또는 반출을 방해하지 않아야 한다.
또한 상기 기체 분사 수단(40) 및 기체 배출 수단(50) 상측에는 도 1에 도시된 바와 같이, 상기 기판 재치대(20)를 함입하여 기판을 보호하는 보호 커버(90)가 더 구비되는 것이 바람직하다. 본 실시예에서는 기판 재치대(20)가 상하로 이동하며, 공정이 진행되므로, 기체 분사수단(40)의 높이 이상으로 상승된 기판은 오픈된 공간에 노출된다. 오픈된 공간에는 원칙적으로 아무런 기체가 존재하지 않아야 하지만, 일부 반응 가스 등이 존재할 수 있으며, 다른 파티클이 존재할 수도 있다. 이러한 기체나 파티클에 의하여 처리된 기판이 영향을 받는 것을 방지하기 위하여 보호 커버가 더 구비되는 것이다.
이상에서는 기판 재치대(20)에 적층된 기판이 상하 이동하면서 원자층 증착 공정이 진행되는 것으로 설명하였지만, 기판은 기판 재치대(20)에 적층된 상태로 정지하고, 기체 분사수단(40)과 기체 배출수단(50)이 상하 방향으로 이동하면서 원자층 증착 공정을 진행할 수도 있을 것이다. 이 경우에는 챔버(10) 체적을 감소시킬 수 있는 장점이 있다.
이하에서는 본 실시예에 따른 배치형 원자층 증착장치(1)의 사용방법을 예를 들어 설명한다.
ZrO2층을 기판 상에 형성되는 공정을 예로 들어 설명한다. ZrO2층을 원자층 증착법으로 증착하기 위해서는 먼저 가스 공급원으로 Zr 공급원, O3 공급원 그리고 퍼징가스로 N2 공급원이 필요하다. 따라서 제1 반응 가스 공급원으로는 Zr 공급원이 사용되고, 제2 반응 가스 공급원으로는 O3 공급원이, 퍼징가스 공급원으로는 N2 공급원이 각각 사용된다.
따라서 제1, 2, 3 퍼징 가스 분사층에서는 N2 공급원이 연결되어 질소 기체가 분사되고, 제1 반응 가스 분사층에는 Zr 가스가 분사되며, 제2 반응 가스 분사층에서는 O3가스가 분사된다.
이 상태에서 다수개의 기판이 적층된 기판 재치대(20)가 기판 이동수단에 의하여 상측으로 이동하면서 각 가스 분사층을 통과한다. 최상층의 기판이 제1 퍼징 가스층(40a)을 통과하고, 제1 반응 가스층(40b), 제2 퍼징가스층(40c), 제2 반응 가스층(40d) 및 제3 퍼징가스층(40e)을 순차적으로 통과하면서 1 싸이클의 원자층 증착 공정이 진행된다.
그러면서 그 이하의 기판들도 순차적으로 원자층 증착 공정이 진행된다. 최하층의 기판이 원자층 증착 공정이 완료되면, 가스 분사를 중단한 상태에서 기판 재치대(20)를 하측으로 이동시킨 후, 전술한 원자층 증착 공정을 반복할 수 있다.
또한 가스 분사를 중단하지 않은 상태에서 기판 재치대를 연속적으로 상하 이동하여 원자층 증착 공정을 반복할 수 있다.

Claims (17)

  1. 내부에 진공을 형성할 수 있는 챔버;
    상기 챔버 내에 위치하며, 다수개의 기판이 일정한 간격으로 이격되어 적층되는 기판 재치대;
    상기 기판 재치대를 상하 방향으로 이동시키는 기판 이동수단;
    상기 기판 재치대에 적층되어 있는 기판과 평행한 방향으로 기체를 연속적으로 분사하는 기체 분사 수단;
    상기 챔버 내부 중 상기 기체 분사 수단과 대향되는 일측에 마련되어, 상기 기체 분사 수단에 의하여 분사된 기체를 흡입 배출하는 기체 배출수단;을 포함하는 배치형 원자층 증착 장치.
  2. 제1항에 있어서,
    상기 기판 이동수단은, 상기 기판 재치대를 상기 기판이 적층된 간격 만큼 끊어서 주기별로 이동시키는 것을 특징으로 하는 배치형 원자층 증착 장치.
  3. 제1항 또는 제2항 중 어느 한 항에 있어서,
    상기 기판 분사 수단은, 독립적으로 구동되는 가스 분사층 다수개로 이루어진 적어도 하나의 기체 분사 블럭으로 이루어진 것을 특징으로 하는 배치형 원자층 증착 장치.
  4. 제3항에 있어서,
    상기 기체 분사 블럭은,
    제1 퍼지 가스 분사층, 제1 반응 가스 분사층, 제2 퍼지 가스 분사층, 제2 반응 가스 분사층, 제3 퍼지 가스 분사층이 순차적으로 형성되는 구조인 것을 특징으로 하는 배치형 원자층 증착 장치.
  5. 제4항에 있어서,
    상기 제1, 2, 3 퍼지 가스 분사층은 2 층 이상으로 형성되는 것을 특징으로 하는 배치형 원자층 증착 장치.
  6. 제4항에 있어서,
    상기 기체 분사 수단은, 상기 기판 재치대의 외측 일부를 감싸는 호 형상인 것을 특징으로 하는 배치형 원자층 증착 장치.
  7. 제4항에 있어서,
    상기 기체 배출 수단은, 상기 기판 재치대의 외측을 감싸는 호 형상인 것을 특징으로 하는 배치형 원자층 증착 장치.
  8. 제7항에 있어서,
    상기 기체 배출 수단은, 상기 기체 분사 수단의 전체 가스 분사층을 포괄하는 하나의 배출구로 구성되는 것을 특징으로 하는 배치형 원자층 증착 장치.
  9. 제7항에 있어서,
    상기 기체 배출 수단은, 각 기체 분사 블럭 별로 대응되어 독립적으로 구동되는 다수개의 배출구로 구성되는 것을 특징으로 하는 배치형 원자층 증착 장치.
  10. 제7항에 있어서,
    상기 기체 배출 수단은, 퍼지 가스 분사층, 반응 가스 분사층, 퍼지 가스 분사층 순으로 이루어진 가스 분사 유닛 별로 대응되어 독립적으로 구동되는 다수개의 배출구로 구성되는 것을 특징으로 하는 배치형 원자층 증착 장치.
  11. 제7항에 있어서,
    상기 기체 배출 수단은, 각 가스 분사층 별로 대응되어 독립적으로 구동되는 다수개의 배출구로 구성되는 것을 특징으로 하는 배치형 원자층 증착 장치.
  12. 제1항에 있어서,
    상기 기판 재치대는 기판을 가열하는 가열 수단을 더 포함하는 것을 특징으로 하는 배치형 원자층 증착 장치.
  13. 제1항에 있어서,
    상기 기판 재치대는, 상단과 하단에 각각 기판이 채워지지 않는 다수층의 버퍼층을 가지는 것을 특징으로 하는 배치형 원자층 증착 장치.
  14. 제1항에 있어서,
    상기 기판 재치대는, 그 상면에 재치된 기판을 회전시키는 기판 회전수단을 더 포함하는 것을 특징으로 하는 배치형 원자층 증착 장치.
  15. 제1항에 있어서,
    상기 기체 분사 수단과 상기 기체 배출 수단 사이에는 상기 기판 재치대를 감싸는 차단 플레이트가 더 구비되는 것을 특징으로 하는 배치형 원자층 증착 장치.
  16. 제1항에 있어서,
    상기 기체 분사 수단 및 기체 배출 수단 상측에는 상기 기판 재치대를 함입하여 기판을 보호하는 보호 커버가 더 구비되는 것을 특징으로 하는 원자층 증착 장치.
  17. 제1항에 있어서,
    상기 챔버에 장착되며, 챔버 내부의 기체를 흡입 배출하는 보조 가스 배출 수단이 더 구비되는 것을 특징으로 하는 원자층 증착 장치.
PCT/KR2009/000607 2008-02-12 2009-02-10 배치형 원자층 증착 장치 WO2009102133A2 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/866,991 US20100326358A1 (en) 2008-02-12 2009-02-10 Batch type atomic layer deposition apparatus
JP2010545810A JP5177591B2 (ja) 2008-02-12 2009-02-10 バッチ型原子層蒸着装置
EP09710337A EP2249379B1 (en) 2008-02-12 2009-02-10 Batch-type atomic layer vapour-deposition device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020080012458A KR101043211B1 (ko) 2008-02-12 2008-02-12 배치형 원자층 증착 장치
KR10-2008-0012458 2008-02-12

Publications (2)

Publication Number Publication Date
WO2009102133A2 true WO2009102133A2 (ko) 2009-08-20
WO2009102133A3 WO2009102133A3 (ko) 2009-12-03

Family

ID=40957366

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2009/000607 WO2009102133A2 (ko) 2008-02-12 2009-02-10 배치형 원자층 증착 장치

Country Status (6)

Country Link
US (1) US20100326358A1 (ko)
EP (1) EP2249379B1 (ko)
JP (1) JP5177591B2 (ko)
KR (1) KR101043211B1 (ko)
TW (1) TW201000671A (ko)
WO (1) WO2009102133A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012041241A (ja) * 2010-08-23 2012-03-01 Hitachi Zosen Corp カーボンナノチューブ形成用のcvd装置

Families Citing this family (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5560093B2 (ja) * 2009-06-30 2014-07-23 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法及び基板製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI541378B (zh) * 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
JP5735304B2 (ja) * 2010-12-21 2015-06-17 株式会社日立国際電気 基板処理装置、基板の製造方法、半導体デバイスの製造方法およびガス供給管
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5878813B2 (ja) * 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
TWI461566B (zh) 2011-07-01 2014-11-21 Ind Tech Res Inst 鍍膜用噴灑頭以及鍍膜裝置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP5977274B2 (ja) 2013-03-21 2016-08-24 東京エレクトロン株式会社 バッチ式縦型基板処理装置および基板保持具
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
SG11201702331YA (en) 2014-09-30 2017-04-27 Hitachi Int Electric Inc Substrate processing device, manufacturing method for semiconductor device, and reaction tube
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10961621B2 (en) 2015-06-04 2021-03-30 Svagos Technik, Inc. CVD reactor chamber with resistive heating and substrate holder
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
WO2017040868A1 (en) * 2015-09-01 2017-03-09 Crystal Solar, Inc. Cvd reactor chamber with resistive heating
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR101715192B1 (ko) * 2015-10-27 2017-03-23 주식회사 유진테크 기판처리장치
KR101731488B1 (ko) * 2015-10-27 2017-05-02 주식회사 유진테크 기판처리장치 및 튜브 조립체 조립방법
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
EP3559307B1 (en) 2017-02-08 2022-08-03 Picosun Oy Deposition or cleaning apparatus with movable structure and method of operation
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP7212790B2 (ja) * 2019-08-20 2023-01-25 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113862643A (zh) * 2021-09-18 2021-12-31 江苏微导纳米科技股份有限公司 原子层沉积装置及其匀流机构

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4421786A (en) * 1981-01-23 1983-12-20 Western Electric Co. Chemical vapor deposition reactor for silicon epitaxial processes
JPS60184679A (ja) * 1984-03-01 1985-09-20 Nippon Telegr & Teleph Corp <Ntt> 多層薄膜形成法及びそれに用いる装置
JPH03142823A (ja) * 1989-10-27 1991-06-18 Fujitsu Ltd 気相成長装置
JPH03255619A (ja) * 1990-03-05 1991-11-14 Fujitsu Ltd 縦型cvd装置
JPH05195952A (ja) * 1992-01-17 1993-08-06 Matsushita Electric Ind Co Ltd クライオパネル装置
JPH08181076A (ja) * 1994-10-26 1996-07-12 Fuji Xerox Co Ltd 薄膜形成方法および薄膜形成装置
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JPH11135492A (ja) * 1997-11-04 1999-05-21 Sony Corp シリコン酸化膜の形成方法及びシリコン酸化膜形成装置
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP4435111B2 (ja) * 2001-01-11 2010-03-17 株式会社日立国際電気 Ald装置および半導体装置の製造方法
JP2002222806A (ja) * 2001-01-26 2002-08-09 Ebara Corp 基板処理装置
JP2003045864A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
JP2004162114A (ja) 2002-11-13 2004-06-10 Mitsubishi Electric Corp 薄膜形成装置
JP2004296659A (ja) * 2003-03-26 2004-10-21 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2005056908A (ja) * 2003-08-05 2005-03-03 Hitachi Kokusai Electric Inc 基板処理装置
JP2005089781A (ja) * 2003-09-12 2005-04-07 Mitsui Eng & Shipbuild Co Ltd 薄膜形成装置
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
EP1824960A2 (en) * 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
JP2006176826A (ja) * 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
US7407892B2 (en) * 2005-05-11 2008-08-05 Micron Technology, Inc. Deposition methods
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
JP4305427B2 (ja) * 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4855029B2 (ja) 2005-09-28 2012-01-18 財団法人電力中央研究所 半導体結晶の成長装置
JP4426518B2 (ja) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
KR100779118B1 (ko) * 2005-12-09 2007-11-27 주식회사 테라세미콘 평판표시장치 제조시스템
JP2007217762A (ja) * 2006-02-17 2007-08-30 Seiko Epson Corp 処理装置及び処理方法
JP2008166321A (ja) * 2006-12-27 2008-07-17 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US7700054B2 (en) * 2006-12-12 2010-04-20 Hitachi Kokusai Electric Inc. Substrate processing apparatus having gas side flow via gas inlet

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
None
See also references of EP2249379A4

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012041241A (ja) * 2010-08-23 2012-03-01 Hitachi Zosen Corp カーボンナノチューブ形成用のcvd装置

Also Published As

Publication number Publication date
TW201000671A (en) 2010-01-01
EP2249379A4 (en) 2011-05-04
JP5177591B2 (ja) 2013-04-03
EP2249379B1 (en) 2012-07-11
WO2009102133A3 (ko) 2009-12-03
KR101043211B1 (ko) 2011-06-22
US20100326358A1 (en) 2010-12-30
KR20090087174A (ko) 2009-08-17
JP2011512031A (ja) 2011-04-14
EP2249379A2 (en) 2010-11-10

Similar Documents

Publication Publication Date Title
WO2009102133A2 (ko) 배치형 원자층 증착 장치
WO2011027987A2 (ko) 가스분사장치 및 이를 이용한 기판처리장치
WO2010067974A2 (ko) 복수기판 처리장치
WO2013147481A1 (ko) 선택적 에피택셜 성장을 위한 장치 및 클러스터 설비
WO2012176996A2 (ko) 반도체 제조에 사용되는 분사부재 및 그것을 갖는 기판 처리 장치
WO2014030973A1 (ko) 기판 처리 장치 및 기판 처리 방법
WO2013095030A1 (ko) 기판 처리 장치 및 기판 처리 방법
KR20090021931A (ko) 가스 분사 조립체 및 이를 이용한 박막증착장치
WO2012134070A2 (ko) 가스 주입 장치, 원자층 증착장치 및 이 장치를 이용한 원자층 증착방법
WO2014003298A1 (ko) 프로세스 챔버 및 기판 처리 방법
WO2013100462A1 (ko) 기판처리장치
WO2015072691A1 (ko) 원자층증착 장치 및 방법
KR101173081B1 (ko) 수평 배치형 원자층 증착 장치
KR101006583B1 (ko) 수평 배치형 원자층 증착 장치
KR101044913B1 (ko) 배치형 원자층 증착 장치
WO2018190696A1 (ko) 원자층 증착을 위한 가스 공급 모듈
WO2014007572A1 (ko) 기판 처리 장치
KR20150097957A (ko) 원자층 증착 장치
WO2022260473A1 (ko) 배리어층의 형성 방법
WO2015072661A1 (ko) 반응 유도 유닛 및 기판 처리 장치 그리고 박막 증착 방법
KR101141070B1 (ko) 배치형 원자층 증착장치
WO2017222350A1 (ko) 원자층 증착 장비 가스 모듈, 원자층 증착 장비 및 그를 이용한 원자층 증착 방법
WO2020235912A1 (ko) 기판처리장치
WO2015034208A1 (ko) 적층형 원자층 증착 장치 및 방법
WO2022255833A1 (ko) 박막 증착 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09710337

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2010545810

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 12866991

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2009710337

Country of ref document: EP