WO1997028669A1 - Model-based predictive control of thermal processing - Google Patents

Model-based predictive control of thermal processing Download PDF

Info

Publication number
WO1997028669A1
WO1997028669A1 PCT/US1997/001318 US9701318W WO9728669A1 WO 1997028669 A1 WO1997028669 A1 WO 1997028669A1 US 9701318 W US9701318 W US 9701318W WO 9728669 A1 WO9728669 A1 WO 9728669A1
Authority
WO
WIPO (PCT)
Prior art keywords
temperature
model
output
control
thermal process
Prior art date
Application number
PCT/US1997/001318
Other languages
English (en)
French (fr)
Other versions
WO1997028669B1 (en
WO1997028669A9 (en
Inventor
Hank De Ward
Robin M. De Keyser
Zhimin Lu
James J. Donald
Original Assignee
Asm America, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm America, Inc. filed Critical Asm America, Inc.
Priority to EP97904022A priority Critical patent/EP0879547B1/en
Priority to JP52776597A priority patent/JP3956057B2/ja
Priority to AU18435/97A priority patent/AU1843597A/en
Priority to DE69728256T priority patent/DE69728256T2/de
Publication of WO1997028669A1 publication Critical patent/WO1997028669A1/en
Publication of WO1997028669B1 publication Critical patent/WO1997028669B1/en
Publication of WO1997028669A9 publication Critical patent/WO1997028669A9/en

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only

Definitions

  • the invention relates to automatic feedback control of thermal processing.
  • the invention pertains to model-based predictive temperature control of thermal process reactors such as used in semiconductor processing.
  • polycrystalline silicon typically takes place at 600-700°C where as a rule of thumb a 2% uniformity degradation is incurred for every degree of temperature gradient.
  • polysilicon deposition multiple reflections and optical interference within the deposited overlayers can give rise to emissive or absorptive changes with overlayer thickness, exacerbating the problem of mamtaining temperature uniformity (J.C. Liao, T.I. Karnins, "Power Absorption During Polysilicon Deposition in a Lamp-Heated CVD Reactor, J. Appld. Phys., 67(8), 3848- 3852 (1990)).
  • patterned layers can also lead to variations in light absorption across the wafer, creating local temperature gradients.
  • the aforementioned factors complicating the control system design are not only manifest for rapid thermal chemical vapor deposition (RTCVD) systems, but apply to thermal processing (TP) systems in general, where the need for precise process control is balanced by the demand for minimal process cycle times.
  • RTCVD rapid thermal chemical vapor deposition
  • TP thermal processing
  • the generally short process cycle times and fast dynamics of the single-wafer systems render dynamic control of temperature uniformity a necessity of considerable technical difficulty.
  • the radiant heating systems used for rapid wafer heating comprise either arc lamps or banks of linear tungsten-halogen lamps divided into several independently-controllable heating zones.
  • the wafer itself in principle, represents a complex thermal system whose interaction with the radiant energy is inherently nonlinear.
  • the first component models the (two-dimensional) heat balance of the wafer and is used to compute the steady-state wafer temperature profile for a given heat flux from the lamps.
  • the second component models the heat flux from the lamps as a function of the individual lamp powers.
  • a least-squares method is used to fit a quadratic relationship between the desired temperature at discrete radial positions on the wafer and the flux density due to the lamps.
  • the lamp model is used to determine optimal relative power settings for the lamps that approximate the required flux. This method only applies to theiziiformity control in steady-state, i.e., constant input.
  • Norman, et al. (1991) consider not only the steady-state optimization problem, but also the problem of designing an optimal trajectory.
  • the dynamic model is a finite-difference approximation to the one-dimensional heat equation, including the effects of conduction in the wafer, convective heat loss from the wafer, and radiative transfer.
  • a minimax solution is chosen for the steady-state uniformity optimization and traj ectory following.
  • Dynamic system modeling is an essential ingredient of predictive control laws, which provide the fundamental structure for a unique class of contemporary control algorithms.
  • system or plant control strategies are based on predicted future plant behavior predicated on a suitably accurate dynamic plant model.
  • the future control strategies are not static and do not extend arbitrarily to future time slots; but rather are periodically updated in accordance with the plant model in a so-called receding horizon fashion.
  • predictive control has been the subject of extensive research and development. Indeed, predictive control is the central theme behind the benchmark works of Cutler and Ramaker in their Dynamic Matrix Control (DMC) algorithm (C. Cutler, B.L. Ramaker, "Dynamic Matrix Control — A Computer Control Algorithm,” Joint Automatic Controls Conference Proceedings.
  • DMC Dynamic Matrix Control
  • the ARMA plant models are generally represented by expressions involving polynomials A, B and C of the time-shift operator q " '.
  • the model polynomials A, B and C act on process inputs u(t), process outputs y(t) and process disturbances e(t) such that:
  • a temperature controlled thermal process reactor comprises a chamber within which a thermal process is executed, a source of thermal energy, a thermal sensor, and a model-based predictive temperature controller.
  • One preferred embodiment of the temperature controlled thermal process (TP) reactor comprises a multivariable temperature controlling arrangement.
  • the temperature controller preferably comprises a multivariable thermal process model that relates multivariable process input thermal energy to multivariable process output temperature.
  • the temperature controller also preferably comprises a prediction calculator that uses the process model to calculate a predicted temperature output over a predetermined future time period or prediction horizon.
  • the preferred temperature controller additionally comprises a control calculator that uses the predicted temperature output to calculate an optimum control strategy by which to control the source of thermal energy.
  • the control calculator preferably calculates an optimum future control strategy by comparing the predicted process output variables to a set of desired future process output variables.
  • a temperature control system for controlling a thermal process comprises a controllable source of thermal energy, a temperature sensor, and a model-based predictive temperature controller.
  • the model-based predictive temperature controller comprises a thermal process model that relates process input thermal energy to process output temperature and a prediction calculator that uses the thermal process model to calculate a predicted nominal temperature output over a predetermined future time period.
  • the temperature controller further comprises a control calculator that uses the predicted nominal temperature output to calculate an optimum strategy by which to control the source of thermal energy.
  • the control calculator compares the predicted temperature output to the desired temperature output to derive the optimum control strategy.
  • the prediction calculator periodically updates the predictions in accordance with an auto-regressive moving average calculator.
  • predictions are executed over a predetermined future time period, which is updated in accordance with the auto-regressive moving average.
  • a method of controlling a thermal process comprises the steps of measuring a process output temperature and using this information in predicting a future process output temperature.
  • the method further comprises calculating an optimum process input control strategy and controlling a process input thermal energy using the calculated optimum process input control strategy.
  • predicting a future process output temperature comprises identifying a thermal process model relating process input thermal energy to process output temperature.
  • the preferred method of prediction further comprises recursive application of the thermal process model over a predetermined future time period, or prediction horizon.
  • the predictions are furthermore periodically updated in accordance with an auto-regressive moving average calculator.
  • Another preferred method of rapid thermal process control comprises calculating an optimum process input control strategy by comparing the predicted future process output temperature to a desired future process output temperature.
  • a temperature control system for controlling a thermal process comprises a controllable source of thermal energy, a temperature sensor, and a nonlinear, model-based predictive temperature controller.
  • the model-based predictive temperature controller comprises a nonlinear thermal process model that relates process input thermal energy to process output temperature and a prediction calculator that uses the thermal process model to calculate a predicted nominal temperature output over a predetermined future time period.
  • the nonlinear model further comprises a neural network.
  • the neural network emprises hidden neurons that are of the sigmoid type.
  • a temperature control system for controlling a thermal process comprises a controllable source of thermal energy, a temperature sensor, a model-based predictive temperature controller, and a softsensor model that relates susceptor temperatures to wafer temperatures.
  • the softsensor model provides an estimate of the unmeasurable wafer surface temperatures.
  • the softsensor model is an FIR model.
  • the model coefficients for the softsensor FUR filter are obtained through the use of an instrumented wafer.
  • a temperature control system for controlling a thermal process comprises a controllable source of thermal energy, a temperature sensor, a model-based predictive temperature controller, a softsensor model that relates susceptor temperatures to wafer temperatures and a setpoint generator that uses the output of the softsensor model, and the recipe to adjust the setpoints so that the wafer surface temperatures will be closer to the values specified in the recipe.
  • the model-based predictive temperature controller comprises a nonlinear thermal process model that relates process input thermal energy to process output temperature and a prediction calculator that uses the thermal process model to calculate a predicted nominal temperature output over a predetermined future time period.
  • the nonlinear model further comprises a neural network.
  • the neural network emprises hidden neurons that are of the sigmoid type.
  • Figure 1 is a schematic perspective view of a single-wafer rapid thermal chemical vapor deposition reactor.
  • Figure 2 is a schematic diagram of a prior art temperature control system used in single-wafer reactors.
  • Figure 3 shows representative data characterizing the tracking and response of a prior art multivariable temperature control system.
  • Figure 4 is a basic block diagram of a model-based multivariable temperature control system.
  • Figure 5 is a block diagram of a multivariable model-based predictive temperature control system.
  • Figure 6 is a flow chart representing a preferred predictor and controller algorithm.
  • Figure 7 is a system diagram of a preferred multivariable model-based predictive temperature control system.
  • Figures 8A and 8B illustrate an exemplary input/output identification data set for the center zone, showing system stimuli (B) and response (A).
  • Figure 9 illustrates an exemplary system output simulation using system input data for the center zone.
  • Figure 10 illustrates an exemplary residual correlation for the system center zone input/output data set.
  • Figure 11 illustrates an exemplary model prediction data set compared to system output data.
  • Figure 12A illustrates an exemplary command sequence and output response for each reactor zone.
  • Figure 12B illustrates an exemplary input response to the command sequence of Figure 12 A.
  • Figure 13 A illustrates exemplary data characterizing the tracking and response to each system output variable.
  • Figure 13B illustrates exemplary data characterizing the tracking and response of each system input variable to the command sequence of Figure 13A.
  • Figure 14A is a block diagram that illustrates an overview of a fabrication system.
  • Figure 14B is a block diagram that illustrates, in greater detail than Figure 14A, the various hardware, software, and conceptual components of a fabrication system comprising a nonlinear, neural network based controller.
  • Figure 15 illustrates a block diagram of the nonlinear process model.
  • Figure 16 illustrates a typical typical neural network.
  • Figure 17A is a block diagram of the parallel model network.
  • Figure 17B is a block diagram of the series-parallel model network.
  • Figure 18 is a flowchart that illustrates the process for computing a new set of predictions for n(t+k ⁇ i), u(t+k ⁇ t), and y(t+k ⁇ t) at each time-step /.
  • Figure 19 illustrates a simple neural network having one hidden neuron.
  • Figure 20 illustrates the waveforms in the single input, single output (SISO) controller.
  • Figure 21 is a flowchart illustrating the steps necessary to compute the step responses in the MIMO predictor.
  • Figure 22 illustrates the sigmoid function used in the neural network of figure
  • Figure 23 is a flowchart illustrating the pseudo least squares (PLS) procedure.
  • Figure 24 is a block diagram that illustrates an extension of the basic fabrication system to a softsensor fabrication system.
  • the model-based predictive control system of the present invention is herein illustrated in the context of rapid thermal processing (RTP) systems, and in particular a rapid thermal chemical vapor deposition (RTCVD) system, which itself makes advantageous use of the superior degree of temperature uniformity provided by the present invention.
  • RTP rapid thermal processing
  • RTCVD rapid thermal chemical vapor deposition
  • the apparatus is shown in generally schematic fashion, and only those portions necessary to illustrate the inventive concepts disclosed herein have been included.
  • the apparatus is intended to be enclosed within and supported by a surrounding enclosure (not shown) in and on which necessary gaseous reactant flow controls, process controls, instrumentation, and other attendant mechanisms are intended to be housed and mounted.
  • the RTCVD system 30 illustrated in Figure 1 comprises a reaction chamber 30 of the horizontal flow type formed of a material transparent to radiant heat energy, such as fused quartz.
  • the reaction chamber 30 may comprises a tubular shaft having a cross- section defining a reactant gas flow passage 28.
  • the substrate or wafer 22 may be supported in the center of reaction chamber 30 by a circular, slab-like susceptor 24 held in place by a rotatable driveshaft assembly 26 extending out of the reaction chamber 30.
  • the susceptor 24 is generally fabricated from a material which is opaque to the radiant heat energy supplied from the radiant heat source, and is preferably thermally conductive.
  • the susceptor 24 may be fabricated from a material such as graphite.
  • thermocouples 44, 46, 48, 50 are imbedded in the susceptor 24 for determining the local substrate temperature at predetermined positions on the substrate 22, shown here at respective wafer locations center 44, front 46, side 48, and rear 50.
  • the thermocouple signals are supplied to the temperature controller discussed below.
  • the radiant heating systems used for rapid wafer heating in general comprise either arc lamps or banks of elongated tungsten-halogen lamps divided into several independently-controllable hearing zones.
  • the radiant heat source shown in Figure 1 comprises two banks of high-power elongated tungsten-halogen lamps located above and below the reaction chamber 30.
  • the upper bank of lamps is oriented parallel to the process gas flow 28 and the plurality of upper bank lamps are divided into portions comprising a center zone 34 and two side zones 40, corresponding to their relative proximity with respect to the wafer 22 and gas flow 28.
  • the lower bank of lamps is oriented orthogonal to the process gas flow 28, and the plurality of lower bank lamps are divided into portions comprising a center zone 32, a front zone 38 and a rear zone 36, corresponding to their relative proximity with respect to the wafer 22 and gas flow 28.
  • the electrical power supplied to the lamps by lamp drivers is typically controlled by a plurality of SCR power packs (discussed below) configured to control the duty cycle or phase angle over which the electrical power is supplied to combinations of lamps affecting specific heating zones.
  • the SCR firing phase angle is preferably adjusted to render a linearized power input to the lamps as done, for example, in so-called V 2 or V*I modes of operation.
  • the substrate 22 is placed into the reaction chamber 30 and onto the susceptor 24 at the begiriering of a process cycle.
  • a reactant gas flows through the reaction chamber 30 in the direction indicated by the gas flow arrow 28 to deposit materials on the substrate 22.
  • a desired sequence of thermal process steps proceeds in concert with the reactive gas processing.
  • the thermal processing sequence is performed by adjusting the power level of the lamps to achieve a desired wafer temperature at a specific time in the process cycle.
  • the radiant heat energy supplied to various heating zones is controlled on the basis of temperature measurements within the respective heating zones, which information is supplied to the temperature control system discussed below.
  • the substrate 22 is removed from the reaction chamber 30 upon completion of the process cycle.
  • the cold-wall and warm-wall reaction chambers such as that shown in Figure 1 are inherently non-isothermal.
  • achieving a uniform temperature distribution is complicated by non-uniform heat flow, wafer geometry and attendant optical properties.
  • the position, orientation and power level of lamps shown in Figure 1 are in principle configured to provide a uniform temperature distribution over the wafer 22 by supplying an appropriate spatial and temporal distribution of heat energy.
  • the plurality of lamps comprising different zones, for example, the side zones 40, as well as those of front and back zones 38 and 36, are supplied with varying electrical power levels comprising the multivariable control inputs. These control inputs produce varying radiant power levels in different heating zones to affect the temperature distribution over the substrate 22 during wafer processing.
  • an exemplary prior art multivariable temperature control system for an RTCVD reactor may comprise a plurality of Proportional-Integral- Dif ⁇ erential (PID) controllers well-known in the art, and configured in a so-called master-slave arrangement.
  • PID Proportional-Integral- Dif ⁇ erential
  • a top view of the wafer 22 shows the relative positions of the lamp heating zones 32, 34, 36, 38, 40 and 42 and the sensing thermocouples 44, 46, 48 and 50 with respect to the wafer 22 and the gas flow vector 28, as previously described.
  • the temperature sensors 44, 46,48 and 50 are connected to supply respective PID controllers 64, 66, 68, and 70 with signals indicative of the local wafer 22 temperature.
  • the PID controllers 64, 66, 68 and 70 are also connected to sources of reference signals, which supply each PID controller with a respective temperature reference signal or set-point.
  • a process controller 62 is connected to supply the center PID controller 64 with the global or master set-point information, while the PID controllers 66, 68 and 70 are connected and referenced to the center temperature sensor 44 of the wafer 22.
  • the output signals of the PID controllers 64, 66, 68 and 70 are in turn connected to respective sets of Silicon Controlled Rectifier (SCR) power packs 84, 86, 88 and 80, which control the lamp electrical power for respective heating zones 32/34, 36, 40/42 and 38.
  • SCR Silicon Controlled Rectifier
  • the PID controllers shown in Figure 2 operate to minimize the error signals which are the differences between the respective reference temperatures and the respective measured temperatures by a negative feedback adjustment of the respective lamp powers.
  • the feedback signal produced by a particular PID controller is determined by the response characteristics of the controller and reactor, and, as such, generally represent a considerable challenge to optimize.
  • Several measures may be employed to characterize the dynamic system response, such as speed of response, accuracy, relative stability and sensitivity.
  • a controller will provide a feedback signal consisting of three terms, a first term proportional to the error signal, a second term proportional to the time-integral of the error signal and a third term proportional to the time-derivative of the error signal. All three proportionality constants require adjustment.
  • the center PID controller 64 maintain the center wafer temperature at a predetermined reference value
  • the slave PID controllers 66, 68, 70 maintain the peripheral zones at the center zone temperature.
  • the curve 90 depicts a step in the set-point wafer temperature
  • the curve 92 represents the time response of the center zone 44 to that step, indicating a stable steady-state center zone temperature after a sufficiently long settling time period.
  • a peripheral zone time response is represented by the curve 94, which also displays stable steady-state behavior at long times.
  • an optimally adjusted PID controller system is limited by inherent time delays, characteristic response times and overshoot, as indicated by the transient time response of the curve 92.
  • Coupled PID systems such as shown in Figure 2, exacerbate the response challenge and are commonly detuned to avoid instability at a sacrifice to wafer throughput.
  • a thermal process reactor incorporating a preferred embodiment of the model-based predictive control system of the present invention utilizes heat zone temperature sensors 44, 46, 48, 50 as the multivariable control inputs.
  • the temperature sensors provide a model-based predictive controller 100 with information about the state of the system, namely the zone temperatures of the substrate 22. Based on this information the model-based predictive controller 100 computes an optimum sequence of future control strategy comprising electrical power inputs to the separate heat zone lamps 32, 34, 36, 38, and 40.
  • the process controller 62 is connected to the model based predictive control system 100 and provides it with the desired process temperature sequence.
  • the multivariable control techniques disclosed herein exhibit improved control performance in comparison to conventional PID-type controllers because they contain more information about the system dynamics. This information is utilized in an Auto- Regressive Moving Average (ARMA) model, hence the name model-based predictive control. Feedforward or predictive compensation up to a predeteriT ined receding prediction horizon provides improved control performance since it allows the controller to react before a measurable disturbance has effected the system.
  • the sequence of control predictions is established in a recursive fashion vis a vis the ARMA model, thus increasing controller response time and flexibility.
  • the overall block diagram of the dynamic system (e.g., the controller, the reactor, the lamps and the sensors) comprises both the controller 100 and the plant or reactor 20 for which the controller is responsible.
  • the reactor 20 may be exposed to uncontrolled disturbances 104 which influence the reactor state response through disturbance signal input e(t) 124.
  • the disturbance signal 124 may affect the state of the reactor 20, as measured by the plurality of process control inputs y(t) 116 (or process outputs), in this case comprising an array of the measurements made by temperature sensors 44, 46, 48, 50 at the discrete time variable t.
  • the control input 116 is provided to the temperature controller 100 through the predictor 108.
  • the temperature controller comprises principally interacting components: the predictor 108, the model 110, a controller or control law processor 112, and is supplied with a command sequence W(t) 122 from a process controller 106 in accordance with the predefined sequence of desired process temperatures.
  • the predictor 108 computes a sequence of future reactor states y(t+k 11) (120), where k is a discrete time index referenced to time t. As defined herein, a predicted functional value i ⁇ t+k) made at time t is denoted by f(t+k 11).
  • the predictions y(t+k 11) are made through any formulation based on the model 126, coupled with the control input 116 and control strategy u(t) 118.
  • the predictor output 120 extends forward in time from t to t+N, where N is the prediction horizon.
  • the predictions y(t+k 11) are reciprocally supplied as input to the control law processor 112.
  • the control law processor 112 computes an optimal control strategy u(t) 118 based on a predetermined control criterion (discussed later), the supplied predictor output 120 and the supplied command sequence W(t) 122.
  • the optimal control strategy 118 is supplied as a process input to a lamp driver 102 which converts the control signals 118 to electrical power input signals P(t) 114.
  • the lamp input signals 114 are supplied to the reactor lamps, thereby affecting the radiant heat distribution within the reactor 20.
  • SISO single-input, single-output
  • MIMO multi-input, multi-output
  • a preferred SISO polynomial model has the following general form:
  • the polynomials C(q " ') and F(q ') are asymptotically stable polynomials with all their zeros strictly inside the unit circle, and D(q ') is a stable polynomial with its zeros inside or on the unit circle.
  • the A(q ') polynomial may contain unstable process poles, and the B(q " ') polynomial may contain nonminimum-phase zeros.
  • the C(q ') and D(q ') polynomials are herein defined as design polynomials.
  • An advantageous feature of the present preferred model formulation is the definition and inclusion of polynomials D(q " ') and F(q '). Their influence in the model behavior more effectively decouples any correlation between the noise input e(t) and process input u(t). It is believed that such decoupling more accurately reflects the true behavior of a thermal process reactor.
  • the filtered signals y,(t) and u,(t) are defined as /28669
  • Equation (1) can be rewritten as
  • the filtered signals y ⁇ t) and u t provide convenient closed-form solutions for the predicted response y(t+k 11).
  • y(t+k 11) denotes the predicted value of y(t+k) based on measurements available at time t, i.e., ⁇ y(f), y(t-l), ..., u(t-l), u(t-2), ... ⁇ and (postulated) future values of the process input ⁇ u(t 11), u(t+l 11), ...u(t+k 11) ⁇ . From the expression for the filtered output at time t+k, namely
  • Equations (5) and (6) can be written as
  • Equation (8) plays an essential role in the proper initialization of the difference equation
  • the structure of the predictor algorithm is substantially as that shown in the dashed block 148 of the flow chart shown in Figure 6.
  • the process control begins with an initialization block 127 followed by a computation of the forced response gain vector
  • u f (t) ⁇ u f (t ⁇ t) - fc, Uf (t - 1) -fc 2 u f (t - 2)-... +bd o (t ⁇ t)+bd,u(t -l)+...
  • uAt + N]t - f c , / (t + N ⁇ 0 -fc 2 u,(t + N -2 ⁇ t). +bd o u(t + N]t) + bd,u(t + N -J ⁇ l)+...
  • y f (t) -c,y f (t - 1) - c 2 y f (t - 2)-... + y(t) + ad,y(t-l)+...
  • y(t + 2 ⁇ t) -ad,y(t + l ⁇ t)-ad 2 y(t)-... + y f (t + 2 ⁇ t) + c,y f (t + l ⁇ t)+...
  • y(t + N ⁇ t) -ad,y(t + N -l ⁇ t)-ad 2 y(t + N-2 ⁇ t)-... + y f (t + N ⁇ t) + c l y / (t + N-l ⁇ t)+...
  • the predictive controller of the present invention determines the control strategy u(t) which minimizes the cost function H, defined as:
  • the cost function H comprises terms quadratic in [w(t+k)-y(t+k)] and [u(t+k)-u(t+k-l)j ⁇
  • the set of terms involving the control input y(t) reflects the predicted controller tracking error, which is desirably minimized with respect to future control moves u(t+k 1 1).
  • the set of terms involving the control strategy u(t) reflects the effort to achieve a given level of tracking error.
  • y(t + k ⁇ t) y 0 (t + k ⁇ t) + y p (t + k ⁇ t).
  • the gain vector K is computed in accordance with the foregoing matrix expression. Note that this gain vector has to be computed only once in the non-adaptive case, i.e., the case in which the model parameters remain fixed. This computation can be done in the initialization phase of the algorithm as previously mentioned and shown in process block 128 of Figure 6. Alternatively, the gain vector can be pre-computed off-line and stored in memory. Adaptive extension of the foregoing control law would, in essence, provide for periodic adjustment of the gain vector K.
  • a dashed portion 166 of the flow chart in Figure 6 corresponds to the predictive controller and is supplied with the process output predictions y(t+k 11) 120 generated in the dashed portion 148. Because the postulated future control input u(t+k 11) is assumed constant and equal to u(t-l) (process block 134), then the predicted output y(t+k 11) is equivalent to the future free response of the system y 0 (t+k 11). In a process block 150, the system free response is set to the previously computed predictions y(t+k j t) (block 146). The system free response is supplied to a process block 152, along with the current set point information from a block 154.
  • the optimum process control input U * (t) is computed using y 0 (t+k 11), W(t), u(t-l) and the gain vector K initially computed in block 128.
  • a decision block 162 may test to determine whether the process cycle is complete.
  • MIMO multi-input, multi-output
  • the MIMO control systems modeled by the methods of the present invention are those characterized by a plurality of input Uj(t) variables and output y f) variables, where the variable indices i, j run up to the number of respective input and output variables m, n.
  • Each output of the MIMO is related to all inputs via a dynamic relationship of the form (1):
  • m denotes the number of inputs and n denotes the number of outputs. Both m and n are four in the case of the exemplary RTCVD system shown in Figure 1.
  • the MIMO multistep predictor is conveniently considered as a consecutively applied predictor of a multi-input, single-output (MISO) model. Therefore, equations (15) can be considered as a set of coupled MISO models. Defining the filtered signals as
  • Equation (7) the MISO equivalent of Equations (7) and (8) is given by
  • Aj(q-')Dj(q ')y/t + k ⁇ t) Cj(y f ) j (t + k ⁇ t),fork > 0
  • the action produced by the MIMO predictive controller preferably minimizes the multivariant cost function analogous to Equations (9) and (10):
  • control output is calculated via
  • model parameters may for example comprise multi-input, multi-output (MLMO) 3rd order polynomial model coefficients defined by
  • a multivariable temperature control system for a rapid thermal process reactor comprises a temperature sensor array disposed within the process reactor 20.
  • the temperature sensors may comprise thermocouples or other such equivalents.
  • thermocouples 180, 182, 184, and 186 or other such temperature sensors are connected to the susceptor 24 as previously described in Figure 1.
  • the temperature sensors 180, 182, 184, 186 are each connected to a data bus via input/output devices such as buffer amplifiers and analog-to-digital (A/D) converters 188, 190, 192 and 194.
  • A/D analog-to-digital
  • the temperature sensor input/output devices 188, 190, 192 and 194 are preferably housed in a temperature data acquisition assembly 172 and are located in the vicinity of the reactor 20 to minimize measurement error.
  • the outputs of the A/D converters 188, 190, 192, 194 are connected to a data bus 195 which in turn connects to an input output port 167 of the system temperature controller 170.
  • the temperature controller 170 comprises a processor 165, a data storage device 169, and data input/output devices 167, 168 which provide hardware/software implementation of the foregoing model-based predictive control algorithm.
  • the output of system controller 170 are connected to a plurality of lamp drivers 174 via a data bus 198 and provide the lamp drivers with their respective control signals U * (t).
  • the plurality of lamp drivers may comprise a bank of SCR power regulators configured in a predetermined manner to supply electrical power to the plurality of lamps in reactor 20.
  • the SCR's and lamps are connected to supply radiant energy to the plurality of reactor heat zones in accordance with the preferred radiant heat distribution within the reactor 20.
  • the lamp driver outputs P(t) 200 are connected to the lamps in accordance with this plan, thereby completing the temperature control loop.
  • the temperature sensors 180,182, 184 and 186 provide analog signals indicative of the wafer temperature in respective zones center, side, front and rear. As shown in Figure 7, the analog signals are filtered (buffered) and converted to digital signals by the respective A/D converters 188, 190, 192 and 194.
  • the digitized temperature information Y(f) is transmitted via the data bus 196 to the system controller 170 which computes the optimal control strategy U * (t) using the foregoing model-based predictive control algorithm and dynamic system model.
  • the information necessary for future processing, namely Y(t) and U * (f) is retained in the controller data storage device.
  • the system controller 170 transmits the control input U * (t) via the data bus 198 to the lamp driver assembly 174 whereupon the control signals U * (t) are distributed to the appropriate SCR packs 171, 173, 175.
  • the SCR's convert the control signals U * (t) to the lamp drive signals P(t) as previously discussed in connection with the prior art system of Figure 2.
  • the lamp drive signals P(t) are transmitted to and distributed among the lamp banks in reactor 20 via the bus 200.
  • the lamp banks and lamp drive signals are configured spatially and temporally, in part by the temperature controller 170, to provide a predetermined spatial and temporal temperature profile over wafer 22.
  • the present section discloses exemplary identification and modeling procedures in order to arrive at a model that accurately describes the dynamics of a multivariable rapid thermal reactor.
  • the ensuing model resides at the core of the model-based predictive temperature control system of the present invention.
  • the test arrangement and conditions are first described, after which the model structure and order selection procedures are discussed.
  • the model is then presented along with exemplary model validation.
  • DA&C DA&C
  • RTCVD reactor RTCVD reactor
  • a software based system is used to provide the interface between the DA&C hardware and the user.
  • the PC is used to control the temperature in the reactor, for example, by using a conventional software-based PID algorithm.
  • the DA&C system is also capable of injecting stimuli, in the form of appropriate test signals, into the system in open-loop mode and detecting the response of the temperature sensors.
  • This open-loop mode comprises a substantial portion of the system operation during the identification experiments.
  • the inputs to the system, such as SCR drive signals, and the outputs, such as thermocouple readings, are stored in a data file. Analysis of the signals and modeling are performed off-line using software-based analysis familiar to those skilled in the art of model identification.
  • the identification experiments will result in a model for the transfer function from the four control signals for the center, front, side, and rear zones to the center 44, front 46, side 48, and rear 50 thermocouples.
  • Identification experiments on the RTCVD reactor are conducted at atmospheric pressure and at a temperature between 600°C-800°C, which is a typical temperature range for polysilicon deposition.
  • the controller zone ratio settings are optimized for steady-state umformity at 650°C and are maintained constant during the experiment.
  • the system is set for 6" wafer processing.
  • a nitrogen purge flow of 20 slm is used throughout the experiment.
  • Identification experiments are also performed in H 2 ambients both at 1 atm and reduced pressure at about 200°C for typical epitaxial deposition conditions.
  • the lamp-bank configuration may be adjusted and in general may differ from that previously shown in Figure 2 in terms of zone distribution and lamp power. Those skilled in the art of reactor design will appreciate that a variety of lamp bank distributions are possible.
  • an exemplary lamp distribution may have all lamps operating at the same nominal power rating of between 3kW and 7k W, with some modification in the distribution of SCR lamp drivers to lamp heating zones.
  • the SCR/lamp wiring may differ between zones to facilitate the power distribution between lamps.
  • the preferred lamp bank distribution, power and wiring will in general depend on the desired thermal processing and reactor geometry. For the purposes of the present preferred embodiments, the preferred design criteria result in a lamp bank configuration having better controllability of the peripheral zones and having reduced temperature differences across the wafer as well as between wafer and susceptor. Careful experimental design for dynamic system identification is paramount to obtaining a good model.
  • PRBS Pseudo-Random Binary Signal
  • the PRBSs are allocated peak-to-peak amplitudes of about 1.5 V in order to provide sufficient system excitation.
  • Mean signal levels are chosen to correspond to the steady-state controller output voltage levels corresponding to a temperature of about 650°C.
  • the sampling rate is taken to be about 0.5 Hz.
  • a one-hour run is recorded.
  • the resulting data set is split in two, the first half being used for identification purposes and the second half for model validation purposes. DC-offsets are eliminated from all input and output signals.
  • FIG. 8A and 8B An exemplary input/output identification data set for the center zone is shown in Figures 8A and 8B, showing the first 200 seconds of system stimuli (Figure 8B) and response ( Figure 8A). Corresponding identification data sets for the front, side, and rear zones are obtained in the same manner and display substantially similar characteristics.
  • the next step is to choose a model structure. Generally, this involves three steps:
  • model-order selection determines the number of free parameters in the model description.
  • model structure will likely involve a trade-off between flexibility and parsimony.
  • a higher-order model will be more flexible, but may lead to unnecessarily many parameters being used to describe the true system.
  • a high-order model is more difficult for on-line use in a model-based controller.
  • the principles and guidelines for system modeling are well-known to those skilled in the art of system control. Again, for a more in-depth treatment of the topic of model structure selection one is referred to Ljung (1987).
  • the present embodiment of the multi-input, multi-output, model-based predictive controller utilized a multi-input, multi-output polynomial model in an auto-regressive moving average representation in Equation (15).
  • the model is advantageously considered as a set of coupled linear multi-input, single- output polynomials which allow convenient description of the filter process signals (Y ⁇ and (U f ) j (see Equations (17) and (18)).
  • the exemplary model parameters provided in Table I below refer to a multi- input, multi-output (MIMO) 3rd order polynomial model coefficients defined by
  • model validation includes simulation, residual analysis, and cross-correlation analysis.
  • Residual analysis is used to check whether there is any structural information left unexplained by the model.
  • the residuals difference between model predictions and system output
  • the curve 304 in Figure 10 shows the correlation function of the residual for the center zone output for time lags up to 25 sampling intervals. Dotted lines indicate 99% confidence limits, assuming the residuals are indeed white. Cross-correlation between system inputs and residuals should also show a zero mean with an RMS deviation staying well below the 99% confidence.
  • Such behavior as indicated by a curve 306 in Figure 10, should be observed for all cross- correlated quantities, which indicates there is no significant systematic unaccounted input/output correlation.
  • the model is used to predict thermocouple readings using information on past inputs and outputs.
  • a fresh data set as used in Figure 9, is also used in the present comparison shown in Figure 11.
  • Figure 11 shows the system output (center thermocouple) and the one-minute ahead predictions of the system output made using the model predictor. Notice that the predictive capabilities of the model are excellent. Prediction results for the front, side, and rear zones (not included) show similar behavior.
  • the model described above has been found to provide a very accurate description of system dynamics for an exemplary RTP reactor at atmospheric pressure and in a temperature range of 600-800°C.
  • the ARMAX model is shown to have predictive capabilities particularly advantageous for the present preferred embodiment of a model-based predictive controller.
  • the look-ahead feature of the model can be used, for instance, to minimize overshoot, thus improving recovery time and minimizing recipe cycle times.
  • the precise form of the model can vary appreciably without departing from the spirit and scope of the present invention. In general, the model form will be dictated by demands on a variety of factors including flexibility, accuracy, sensitivity, robustness and speed.
  • One alternative preferred embodiment is to reduce the model order for minimizing computational overhead, without significant loss of accuracy. Additional preferred embodiments comprise:
  • the optimal control strategy (29) does not take into account constraints on input energy to the system
  • a preferred embodiment of the dynamic system model is capable of tracking and predicting the dynamic behavior of multiple heat zones within reactor 20.
  • a preferred multivariant temperature control system of the present invention is capable of maintaining a predete ⁇ ined temporal sequence of temperatures for each heat zone of the reactor 20 as exemplified by Figure 12A.
  • 401, 403, 405 and 407 are the respective temperature profiles followed by the center, side, front and rear heat zones as a result of action by the temperature controller 170.
  • Time lag between zones is substantially eliminated due to the predictive action by controller 170 operating on all zones in parallel.
  • temperature differences between zones become a relatively simple matter of zone-to-zone offset control.
  • the temperature controller 170 supplies the plurality of SCRs with drive signals appropriate for the respective heat zones at a given time.
  • the curves 410, 412, 414 and 416 correspond to the center, side, front and rear SCR drive signals respectively.
  • the SCR drive signals for each zone display very different behavior as determined by the temperature controller 170.
  • FIG. 13 A An exemplary demonstration of predictive control versatility is seen in Figure 13 A, wherein each zone separately is provided with a temperature step sequence, initially positive then negative.
  • the center zone (1) is programmed for a positive temperature excursion, then a negative temperature excursion, followed in succession by the side (2), front (3) and rear (4) zones.
  • the controller 170 provides the necessary control signals concurrently to all four zones such that each zone, independently, maintains the programmed temperature profile. Note that while a specific zone is ramped up or down, the other zone temperatures are substantially unchanged, indicating the substantially complete decoupling of heat zones as a result of the model-based predictive control.
  • the exceptional temperature control displayed by the preferred embodiment is also manifest in the control signals.
  • the controller compensates by driving each zone with a signal appropriate to maintain the prescribed temperature profile, both spatially and temporally.
  • the model- based predictive control system of the present invention implemented in a rapid thermal process reactor, substantially optimizes process cycle time as well as spatial temperature uniformity.
  • the linear model disclosed above can be further enhanced by using a nonlinear model of the process reactor.
  • a preferred method for implementing the nonlinear model involves the use of neural networks.
  • a preferred embodiment of the neural network based nonlinear predictive controller is a Neural Extended Prediction control (NEPco) neural model based predictive controller for the susceptor temperature control of the ASMA reactor.
  • NEPco Neural Extended Prediction control
  • Figure 14A is a block diagram that illustrates a fabrication system 1400.
  • a recipe block 1401 provides input into a NEPco process block 1402.
  • the NEPco process 1402 outputs control signals to one or more SCR's that operate one or more lamps 1403.
  • the lamps 1403 provide heat to a reactor 20 which is represented by a reactor process block 1404.
  • a group of unmeasurable outputs from the reactor process block 1404 are the wafer surface temperatures 1405.
  • a group of measurable outputs from the reactor process block 1404 are the susceptor temperatures 1406.
  • the susceptor temperatures are fed back into the NEPco process block 1402 to facilitate temperature control of the wafer 22 and the susceptor 24.
  • the temperature of the wafer surface is of major importance for the deposition process. However, the wafer temperature is not measured during normal operation. The only signals which are directly measured for control purposes are the susceptor temperatures. Experiments have indicated that these susceptor temperatures provide a reasonable approximation ofthe unknown wafer temperature distribution. Experimental results indicate that good susceptor control alone is not sufficient to obtain very tight wafer control.
  • the NEPco embodiment of the present invention discloses a procedure for improved control of the susceptor temperature signals 1406. This improvement provides the immediate benefits of improving the temperature control of the susceptor 24 and therefore the wafer 22, and it sets the stage for improvements using various models based on the soft sensor principle.
  • Figure 14B illustrates an overview of the hardware, software, and conceptual components that comprise the system 1400. The reader is urged to refer back to Figure 14B before reading each section below in order to place the section about to be read in context.
  • Figure 14B shows a three layer structure of elements that comprise the system 1400. Lower levels in the structure represent, at greater levels of detail, the internal elements ofthe upper layers.
  • a controller system layer 1410 comprises the system 1400 and is the topmost level of the system 1400. Working downward, the next level is the predictive modeling level 1411 which comprises a predictor process 1500, a series parallel predictor 1801, a parallel predictor 1800, and a neural network 1600.
  • the lowest ofthe three levels is a training layer 1412 which comprises a pseudo least squares (PLS) block 2300, a pulsetest experiment block 1900, and an initial estimate block 2400.
  • PLS pseudo least squares
  • the predictor process 1500 is shown as being part of the NEPco process block 1402.
  • the series-parallel predictor 1801 and the parallel predictor 1800 are shown as being different implementations of the predictor process 1500.
  • a unit step response 2100 is shown as being an internal component ofthe parallel-predictor 1800.
  • the neural network 1600 is shown as being a part ofthe parallel predictor 1800.
  • the PLS framing method block 2300 is shown as applying to the neural network 1600.
  • the pulsetest experiment block 1900 and the initial estimate block 2400 are shown as being inputs to the PLS framing method block 2300.
  • THE NONLINEAR PROCESS MODEL Figure 15 illustrates a block diagram of the nonlinear process model 1500.
  • a process input «(/) 1501 is the sole input to a model process block 1502.
  • the process input 1501 appears in the equations as u(t) and is typically a voltage to the lamp driver SCRs.
  • the model process block 1502 exhibits a nonlinear transfer function f ).
  • a model output x(t) 1504 is an output of the process block 1502.
  • the model output x( ⁇ 1503 appears in the equations that follow as x(t) and is typically a temperature expressed in °C.
  • the model output x( ⁇ 1503 and a process disturbance «(/) 1503 are added together at a summing junction 1506.
  • the output of the summing junction 1506 is a process output t) 1505.
  • the process disturbance 1503 is expressed in the equations that follow as n ⁇ t) and is typically expressed as a temperature in °C.
  • the process output 1505 is expressed in the equations that follow as v(t) and is typically the susceptor temperature measurements expressed as a temperature in °C.
  • the process disturbance n(t) 1503 includes all effects in the process output y t) 1505 which do not come from the model output x(t) 1504.
  • the process disturbance n(t) 1503 is a fictitious (unmeasurable) signal which includes such disturbance effects as deposition, gas flow, measurement noise, model errors, etc. These disturbances typically have a stochastic character with nonzero average value.
  • the disturbances can usually be modeled by a colored noise process given by:
  • C(q ⁇ )/D(q ⁇ l ) is a disturbance model. While many acceptable disturbance models are possible, in the preferred embodiment for the ASMA application it has the structure:
  • the model output x(t) 1504 represents the effect ofthe power input u(t) 1501 on the susceptor (thermocouple) temperature. This is an unmeasurable signal, as only the combined effect of control action plus disturbances is measurable via the thermocouple sensors 44, 46, 48, and 50.
  • the relationship between the input u(t) and the output x(t) is a dynamic relationship in that the present temperature x(t) does not depend on the present input u(t), but on the previous temperatures ⁇ x(t-l), x(t-2), ... ⁇ and the previous inputs ⁇ u(t- ⁇ ), u(t-2), ... ⁇ .
  • experimental measurements show that for a typical ASMA reactor, the relationship between u(t) and x(t) is also strongly nonlinear. For example, in one experiment the effect of a specific power input variation on the resulting temperature was found to be quite different around 800°C as compared to 1100°C. These temperatures are by way of example only since different reactors will exhibit different properties.
  • the function j[...] is implemented as a neural network.
  • Figure 16 illustrates a typical typical neural network.
  • the set of past model outputs 1604 ⁇ x(t-l), x(t-2), ... ⁇ and the set of past model inputs ⁇ u(t- ⁇ ), u(t- 2), ... ⁇ are shown as inputs to a layer of input neurons 1601.
  • the input neurons 1601 are connected to a layer of hidden neurons 1602 such that every one of the input neurons 1601 is connected to every one of the hidden neurons 1602.
  • the hidden layer 1602 contains three hidden neurons 1610, 1611, and 1612.
  • the hidden neurons 1602 have outputs labeled z, ...Zj ...
  • z_ such that z, is the output of the first hidden neuron 1610 and z. is the output of the last hidden neuron 1612.
  • the connections between the input neurons 1601 and the hidden neurons 1602 are labeled w m where indicates the hidden neuron having the output z / and j indicates which of the input neurons 1601 is being connected.
  • the superscript [1] indicates the connection starts from the first layer of neurons. All of the hidden neurons 1602 are connected to an output neuron 1613 by connections labeled wj 2] where i indicates the hidden neuron output z,- that is being connected to the output neuron 1613.
  • the superscript [2] indicates the connections from the second layer of neurons of neurons.
  • the input neurons 1601 are non-active neurons in that the neurons do not perform any computation, they only distribute the input signals to the hidden neurons 1602.
  • a third order model is used, meaning the six input neurons 1601 corresponding to the three previous values of x(i), namely x(t- 1 ), x(t-2) and x(t-3), and the three previous values of u(t), namely u(t- 1 ), u(t-2), and u(t-3), are provided as inputs to the input layer 1601.
  • the hidden layer preferably contains nonlinear sigmoid-type neurons.
  • Sigmoid neurons are well known in the art (see e.g., James A. Freeman and David M. Skapura, "Neural Networks” Addison Wesley, 1991).
  • the hidden neuron outputs z ; - are computed as follows:
  • I is an input vector given by:
  • W ' 1 [x(t - l) x(t - 2) x(t - 3) «(/ - l) u(t -2) u ⁇ t - 3)f and W ' 1 is a weight vector given by:
  • the 1900 comprises a single hidden neuron 1904 of the sigmoid type.
  • the hidden neuron 1904 has a group of inputs 1901 comprised of model outputs x (t- ⁇ ), x x (t-2), and x,(t-3).
  • the hidden neuron 1904 also has a group of model inputs 1902 comprised of model inputs w,(t-l), M ⁇ (f-2), and w,(/-3).
  • the hidden neuron 1904 also has a group of model inputs 1903 comprised of model inputs u A (t- 1), u A (t-2), and A (t-3).
  • Figure 19 further illustrates that the hidden neuron 1904 has inputs comprised of model inputs « 2 (--l), u 2 (t-2), u 2 (t-3), w 3 ( ), « 3 (t-2), and u 3 (t-3).
  • An output ofthe hidden neuron 1904 feeds a linear output neuron 1905.
  • the neural network 1900 has a single output ,(r) 1906.
  • the output layer contains the single linear output neuron 1613.
  • the weight and bias of the output neuron should be identified together with those ofthe hidden-layer neuron. In fact, all ofthe weight and bias parameters together constitute the model ofthe unknown process dynamics.
  • the two most preferred configurations for modeling the ASMA reactor are a parallel model and a series-parallel model.
  • the nonlinear model 1502 be based upon a neural network.
  • the preferred embodiment uses a neural network.
  • the model will assumed to be implemented using neural network, with the understanding that other (non-neural network) implementations are possible.
  • Figures 17A and 17B show block diagrams of two common recursion networks.
  • Figure 17A is a block diagram ofthe parallel model network.
  • the model 1701 is shown as a neural network ( ⁇ ) process block with an input vector 1707 and a single output x(t+k) ⁇ t) 1704.
  • the input vector 1707 has a group of inputs 1702 comprising model outputs 1504.
  • the model outputs 1504 comprise (x(t+k- ⁇ ⁇ t), x(t+k-2 I /), and x(t+k-3 ⁇ t).
  • the input vector 1707 has a group of process inputs 1703 comprising process inputs 1501.
  • the inputs 1501 comprise (u(t+k- ⁇ ⁇ t), u(t+k-2
  • Figure 17B shows the series-parallel model neural network as an NN- block 1751, which is a process block with an NN-input vector 1757 and a single output x(t+k) j t) 1754.
  • the NN-input vector 1757 has a group of inputs 1752 comprising process outputs 1505.
  • the inputs 1505 comprise (y(t+k-l j t), y(t+k-2 j /), andy(t+k-3
  • the NN-input vector 1757 also has a group of inputs 1702 comprising process inputs u(t+k-l 1 1), (t+k-2 1 1), and u(t+k-3 1 1).
  • the parallel model also known in the art as the independent model, preferably should be used only for stable processes.
  • the series-parallel model can also be used for unstable processes.
  • the disturbance model C(q "1 ) D(q '1 ) should be chosen differently. Both models are useful for the the ASMA application; however, the parallel model is preferred and so it is described in greater detail herein.
  • t) is computed using the NN input vector 1707 [x(t - 1 ) x(t - 2) x(t - 3) w(t - 1 ) u ⁇ t - 2) u(t - 3)3, which contains values from the past, thus known at time t. Notice that x(t) ⁇ x (t
  • x(t) is computed using the NN-model 1701.
  • the current value of the disturbance n(t) 1503 is computed using the process model: n(t)-y ⁇ t)-x(t)- Notice that the previous values of n(t), namely ( «(t-l), n(t-2), ... ⁇ are available in the computer memory.
  • n f (t) -c n f (t- ⁇ ) - c 2 -n f (t-2)-.. ⁇ n(t) + d r n(t - ⁇ ) + d 2 -n(t-2)+... Since the disturbance model is:
  • the best prediction ofthe white noise is the mean value, which is zero.
  • the signal values in the right-hand side namely «(t
  • t), ... are known, while n j (t+l ⁇ t) 0.
  • t) is then used in the right-hand side, together with «/(t+2
  • t) 0 in order to compute «(t+2jt), etc.
  • Figure 18 is a flowchart that illustrates the process for computing a new set of predictions for n(t+k ⁇ t), u(t+k ⁇ t), ⁇ y(t+k ⁇ t) at each time-step t.
  • n(t) y(t) - x(t) in a process block 1805 and save the value in a database containing ⁇ n(t), n(t- ⁇ ), n(t-2), ... ⁇ .
  • n f (t) -cm f (t-l) - c 2 -n f (t-2) - ...
  • Figure 20 shows a two-axis plot having an x-axis 2001 showing, having a y-axis 2002 showing a curve 2003 representing u, a curve 2004 representing , and a horizontal line 2005 representing the curve w/r.
  • the y-axis 2002 is positioned on the x-axis 2001 at time t.
  • time values on the x-axis 2001 that lie to the right of the y-axis 2002 represent the future, such as u(t+k ⁇ t ).
  • points on the x-axis 2001 that lie to the left of the y-axis 2002 represent the past.
  • the ultimate objective of the SISO controller is to find the control input «(t
  • t) «(t-l), «(t+l
  • t) u (/- 1), ...
  • the forced is the effect of a sequence of step inputs 1920 having:
  • the unit step response is the response of the system output for a stepwise change ofthe system input (with amplitude 1).
  • the unit step response is different for each operating point.
  • the objective is to find the control inputs «,(t
  • step responses can be defined, describing the effect of a stepwise change of each of the two inputs on each of the two outputs.
  • the coefficients ofthe step response of input y to output i are denoted by: ⁇ gf g ⁇ g ⁇ ⁇ ⁇
  • N M sl a matrix inversion is required, in this case a 2x2 matrix.
  • n u is the number of control inputs
  • a matrix of dimension (N u n u )x(N u n u ) must be inverted. Only two elements in U* are used for applying the control at time t:
  • the step responses are calculated by entering, for each input a step with size S j in the four process models relating to the four neural nets, one for each output j, (.-1...4).
  • Figure 21 is a flowchart illustrating the steps necessary to compute the step responses.
  • the process begins at a loop control block 2101.
  • a loop counter n is set to the value 1, representing the first input.
  • the process then advances to a process block 2102 where u,(t+k
  • Processing then proceeds to a process block 2103 where the outputs of the neural network are computed, resulting in :
  • Processing then proceeds to a loop control block 2104 which increments the loop counter n to indicate the next input. Processing then returns to the process block 2102 where u t+klf) is initialized as follows:
  • the responses x[ 0 Yt + k ⁇ t) ... x 4 °Vt + k ⁇ t) ⁇ are the free responses of the neural networks and are used to calculate the system free responses y(t + k ⁇ t) , where J r ft + V
  • t) x (01 (t + A
  • Model Based Predictive Control is a control strategy which relies heavily on the availability of the model 1502.
  • the preceding sections have largely assumed the existence of the model 1502, preferably based on a neural network 1600, without elaborating how the model is generated.
  • This section begins with a brief discussion of the advantages of using a neural network 1600 as the basis for the model 1502 and then describes how the model is generated. Since the model is based on a neural network 1600, generation of the model is largely a process of fraining of the neural network. Training the neural network corresponds to the training layer 1612 of Figure 14B, and requires the PLS training method 2300, the pulsetest experiment 1900, and the initial estimates 2400 shown in that Figure.
  • Modeling of a physical system for control purposes requires the finding of a mathematical relationship (a model) between the system's inputs and outputs.
  • a mathematical relationship entails construction of a mathematical model that describes the effect of the SCR-signals (the inputs) on the susceptor thermocouple signals 44, 46, 48, and 50 (the outputs).
  • the model depends on the underlying physical characteristics ofthe process, which in this case, is mainly a thermal process.
  • the preferred approach is to use a black box model (a neural network) and train that network using experimental data obtained from the reactor during an identification experiment.
  • the obtained model should be quite general in that it should be valid for other experimental data than those used during the identification experiment, as long as the reactor is operating in similar conditions of temperature range and reactor configuration. If essential changes occur, the process will generally need to be re-modeled.
  • the modeling of a typical ASMA reactor takes less than 1 hour, including the required identification experiment.
  • a Pseudo Least Squares (PLS) method is used to train the neural network 1600 as a nonlinear model for the ASMA reactor.
  • the NN- model is then further used in the NEPco predictive control strategy as shown in Figure 14B.
  • the training procedure consists ofthe following general steps of:
  • this experiment is a pulsetest experiment 1900;
  • NN neural network
  • PLS pseudo least squares
  • the pulsetest experiment 1900 and PLS method 2300 are described in detail below.
  • the software necessary to perform the modeling tasks is implemented using MATLAB ® .
  • the preferred embodiment could be re-coded in other languages without difficulty.
  • THE PULSETEST IDENTIFICATION EXPERIMENT In the preferred embodiment, the ASMA reactor is a system with four inputs
  • Table II The four input ASMA reactor system
  • the reactor is computer-controlled and all signals are sampled on a discrete-time basis.
  • the symbol t denotes the discrete-time index (1,2,3,).
  • Training the neural network 1600 requires that a set of modeling coefficients ⁇ W , b , W [2] , b [2] ⁇ be generated.
  • the modeling coefficients depend on a sample period, SamplePeriod. In the preferred embodiment, the SamplePeriod is 2 seconds.
  • the numerical values in the model depend on this sampling period. This means that the control, which is based on this model, should also be executed with a sampling period of 2 seconds.
  • the sample period can be changed without ill effect, but if the control sampling period is changed, remodeling to compute a new set of coefficients is prudent.
  • a characteristic of the model is that each output ⁇ y, ... v 4 ⁇ depends on all four inputs ⁇ «, ... u A ⁇ .
  • the pulsetest which consists of sending consecutively a pulse in each SCR input and measuring each thermocouple reaction. In order to cover the entire nonlinear operating range of the reactor (e.g. 800°C to 1100°C), the test is repeated at several base values of the SCR inputs.
  • a parameter Duration deteimines how many samples each pulse lasts. In a preferred embodiment, the Duration is five samples (10 seconds).
  • a parameter BaseValues is a row vector containing one or more base values for the SCR inputs, in volts (V).
  • Typical BaseValues are [0.8, 1.3, 2.0], corresponding approximately to reactor temperatures [800, 950, 1100] (in °C). More than three base values can be used, leading to higher accuracy, however, this requires a correspondingly longer experiment.
  • the pulses are executed successively for each base value. The time between two pulses, specified as a number of samples in a parameter Period, depends on the settling time of the reactor. For a common reactor, typical values for the parameter Period are between 60 and 120 samples. None of these parameter values are critical and wide variation in values will yield acceptable results.
  • the result ofthe pulsetest experiment 1900 is a dataset containing all input and output samples of the pulsetest experiment. This dataset can be used by the modeling software to train the NN model.
  • the input layer is a layer of non-active neurons.
  • the non-active neurons do not perform any computation, they only distribute the input signals to the neurons in the hidden layer.
  • the outputs Zj are computed as follows:
  • the biases are desirable in order to compensate for the fact that the output v is not necessarily zero when the input x is zero.
  • the output layer contains a single linear neuron.
  • training the NN involves estimating the weights W and biases b.
  • a set of training data from the pulsetest experiment is used.
  • T(k) are target values and N is the number of samples.
  • the NN herein is nonlinear, and thus no closed form method is currently known for estimating W 1] , b 11 , W [2] and b' 21 .
  • a heuristic training method called Pseudo Least Squares (PLS)
  • PLS Pseudo Least Squares
  • the PLS method has the advantages of simplicity, ease of prograrnrning and fast training speed.
  • the PLS method described in more detail below, involves finding an initial set of estimates, and then using an iterative procedure to refine the initial estimates. Briefly, the iterative procedure involves starting at the hidden layer of neurons and working forward, thought the NN, towards the output neuron, refining the parameters W and b for each layer.
  • the following sections herein present the PLS method and a procedure for implementing the method.
  • Figure 22 illustrates the sigmoid function.
  • Figure 22 shows the sigmoid function plotted on an X axis 2201 ranging from -3 to 3, and a Y axis 2202 ranging from -1 to 1.
  • a neuron input n 2203 and corresponding neuron output z 2206 are shown on the X axis 2201 and Y axis 2202 respectively.
  • Slightly displaced from the neuron input n 2203 and corresponding output z 2206 are a fictitious neuron input n 2204 and a corresponding fictitious neuron output z * 2205.
  • FIG. 23 is a flowchart illustrating the PLS procedure.
  • the PLS method does requires an initial estimate for each of the vectors. Since there are many methods that can be employed to develop the initial estimates, the process of developing the estimates is not, strictly speaking, a part of the PLS method. Therefore, the PLS method presented here merely assumes that an initial estimate is available. A preferred method for developing the initial estimates is described below.
  • V old ⁇ [T(k) - y(k)] 2 k-l
  • a decision block 2313 if V ⁇ w is less than V old then proceed to a process block 2314, otherwise jump to a decision block 2315.
  • the process block 2314 replace the old values of W m , b m ,y(k) and V M with the new values of TM, b [2] ,y(k), and V ⁇ .
  • V oId if the value of V oId has not stopped changing or reached some specified small value then processing returns to the process block 2302 for another iteration, otherwise, the process advanced to an end block 2316 and te ⁇ ninates.
  • the linear and non-linear models disclosed above can be further enhanced by adding a softsensor model to the basic MBPC fabrication system 1400.
  • the temperature of the wafer surface is of major importance for the deposition process.
  • the point-to-point wafer temperature is not measured during normal operation.
  • the susceptor temperatures give a reasonable approximation of the unknown wafer temperature distribution.
  • good susceptor control alone is not sufficient to obtain very tight wafer control.
  • Temperature transients are typical situations in which wafer and susceptor temperatures might differ considerably. This is due to the different mass (heat capacity) of susceptor and wafer. Good susceptor control with no (or very low) temperature overshoot does not necessary lead to wafer control with low overshoot. Moreover the front 46, side 48 and rear 50 susceptor setpoints require the specification of an offset with respect to the center 44 susceptor setpoint in order to result in a good temperature uniformity over the wafer surface. In the prior art, these offsets are found by trial and error.
  • FIG. 24 is a block diagram that illustrates an extension ofthe basic fabrication system 1400 to a softsensor fabrication system 2400.
  • a recipe block 2401 provides input into a setpoint generator block 2410.
  • An output of the setpoint generator block provides input to a MBPC process block 2402 and a softsensor process block 2412.
  • An output ofthe softsensor process block 2412 is a wafer estimate 2414.
  • the output ofthe wafer estimate 2414 is fed back into the setpoint generator block 2410.
  • the MBPC process block 2402 outputs control signals to a reactor and lamp system 2404.
  • a group of unmeasurable outputs from the reactor process block 2404 are the wafer surface temperatures 2405.
  • a group of measurable outputs from the reactor process block 2404 are the susceptor temperatures 2406.
  • the susceptor temperatures are fed back into the MBPC process block 2402 to facilitate temperature control of the wafer 22 and the susceptor 24.
  • the recipe 2501 is used as setpoint for the susceptor temperature. Then, in the basic control structure, the recipe is interpreted as setpoint for the wafer temperature. The setpoints for the susceptor control are then computed internally in the control strategy, using the softsensor principle.
  • a model, describing the dynamic relationship between susceptor setpoints and wafer temperatures, is identified using an instrumented wafer.
  • the instrumented wafer is a special wafer which has temperature sensors on the surface of the wafer 20. This allows actual wafer surface temperatures to be measured. These measured values are used to obtain modeling coefficients for the softsensor process block 2412.
  • the softsensor process block 2412 being a part of the control software, can be used to generate an estimate ofthe wafer temperature.
  • the setpint generator 2410 is a PID filter and the softsensor block 2414 is a linear FIR filter.
  • the result is that the wafer temperatures, and not the susceptor temperatures, are controlled towards the values specified in the recipe.
  • This procedure also computes, automatically, the necessary offsets for center 44, front 46, side 48 and rear 50 susceptor setpoints in order to bring all wafer temperatures close to the recipe. This leads to better uniformity ofthe temperatures over the wafer surface.
PCT/US1997/001318 1996-01-31 1997-01-30 Model-based predictive control of thermal processing WO1997028669A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
EP97904022A EP0879547B1 (en) 1996-01-31 1997-01-30 Model-based predictive control of thermal processing
JP52776597A JP3956057B2 (ja) 1996-01-31 1997-01-30 熱処理のモデル規範型予測制御
AU18435/97A AU1843597A (en) 1996-01-31 1997-01-30 Model-based predictive control of thermal processing
DE69728256T DE69728256T2 (de) 1996-01-31 1997-01-30 Modellgestützte prädiktive regelung für thermische behandlungen

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US59743896A 1996-01-31 1996-01-31
US08/597,438 1996-01-31

Publications (3)

Publication Number Publication Date
WO1997028669A1 true WO1997028669A1 (en) 1997-08-07
WO1997028669B1 WO1997028669B1 (en) 1997-09-12
WO1997028669A9 WO1997028669A9 (en) 1997-10-30

Family

ID=24391498

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1997/001318 WO1997028669A1 (en) 1996-01-31 1997-01-30 Model-based predictive control of thermal processing

Country Status (7)

Country Link
US (2) US6207936B1 (US06373033-20020416-M00067.png)
EP (1) EP0879547B1 (US06373033-20020416-M00067.png)
JP (1) JP3956057B2 (US06373033-20020416-M00067.png)
KR (1) KR100486158B1 (US06373033-20020416-M00067.png)
AU (1) AU1843597A (US06373033-20020416-M00067.png)
DE (1) DE69728256T2 (US06373033-20020416-M00067.png)
WO (1) WO1997028669A1 (US06373033-20020416-M00067.png)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999013385A1 (es) * 1997-09-05 1999-03-18 Communaute Europeenne (Ce) Sistema neuronal de control predictivo no lineal
WO1999017175A1 (en) * 1997-10-01 1999-04-08 Aspen Technology, Inc. Non-linear dynamic predictive device
JP2000183072A (ja) * 1998-10-07 2000-06-30 Kokusai Electric Co Ltd 半導体製造装置およびその温度制御方法
WO2001022188A1 (en) * 1999-09-23 2001-03-29 Kic Thermal Profiling, Inc. Method and apparatus for controlling temperature response of a part in a conveyorized thermal processor
WO2002095087A1 (fr) * 2001-05-18 2002-11-28 Tokyo Electron Limited Procede et dispositif de traitement sous vide
KR100423183B1 (ko) * 2001-03-21 2004-03-18 코닉 시스템 주식회사 적응제어방법을 이용한 고속 열처리 공정기의 온도 제어장치 및 제어방법
CN108694288A (zh) * 2018-05-29 2018-10-23 中南大学 快速获取步进梁式钢坯加热炉不同产率下设置温度的方法
CN108776432A (zh) * 2018-06-08 2018-11-09 哈尔滨工程大学 基于网络的机场跑道检测机器人预测控制方法
CN109855763A (zh) * 2018-12-29 2019-06-07 宏景科技股份有限公司 一种基于bp神经网络与plc的温度传感器校正方法

Families Citing this family (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US20020046143A1 (en) * 1995-10-03 2002-04-18 Eder Jeffrey Scott Method of and system for evaluating cash flow and elements of a business enterprise
JP3956057B2 (ja) 1996-01-31 2007-08-08 エイエスエム アメリカ インコーポレイテッド 熱処理のモデル規範型予測制御
US8311673B2 (en) * 1996-05-06 2012-11-13 Rockwell Automation Technologies, Inc. Method and apparatus for minimizing error in dynamic and steady-state processes for prediction, control, and optimization
US6381504B1 (en) * 1996-05-06 2002-04-30 Pavilion Technologies, Inc. Method for optimizing a plant with multiple inputs
US6438430B1 (en) * 1996-05-06 2002-08-20 Pavilion Technologies, Inc. Kiln thermal and combustion control
US7610108B2 (en) * 1996-05-06 2009-10-27 Rockwell Automation Technologies, Inc. Method and apparatus for attenuating error in dynamic and steady-state processes for prediction, control, and optimization
US10839321B2 (en) * 1997-01-06 2020-11-17 Jeffrey Eder Automated data storage system
US20010034686A1 (en) * 1997-12-10 2001-10-25 Eder Jeff Scott Method of and system for defining and measuring the real options of a commercial enterprise
US20080004922A1 (en) * 1997-01-06 2008-01-03 Jeff Scott Eder Detailed method of and system for modeling and analyzing business improvement programs
US6401003B1 (en) * 1997-12-30 2002-06-04 Samsung Electronics Co., Ltd. Alarm system for semiconductor device fabrication facility
DE19855637A1 (de) * 1998-12-02 2000-06-15 Aixtron Ag Verfahren und System zur Halbleiterkristallherstellung mit Temperaturverwaltung
US20040215495A1 (en) * 1999-04-16 2004-10-28 Eder Jeff Scott Method of and system for defining and measuring the elements of value and real options of a commercial enterprise
US6694289B1 (en) * 1999-07-01 2004-02-17 International Business Machines Corporation Fast simulation method for single and coupled lossy lines with frequency-dependent parameters based on triangle impulse responses
US6560514B1 (en) 1999-09-23 2003-05-06 Kic Thermal Profiling Method and apparatus for optimizing control of a part temperature in conveyorized thermal processor
JP3450240B2 (ja) * 1999-11-25 2003-09-22 Necエレクトロニクス株式会社 ランプアニール装置とランプアニール装置の処理温度制御方法
US6522990B1 (en) 1999-12-03 2003-02-18 General Electric Company Methods and apparatus for reducing temperature overshoot
JP2001210596A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置の温度制御方法、半導体製造装置、および半導体デバイスの製造方法
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
TW505770B (en) * 2000-05-02 2002-10-11 Nishiyama Corp Temperature controller
CA2696152A1 (en) * 2000-06-29 2002-01-10 Aspen Technology, Inc. Computer method and apparatus for constraining a non-linear approximator of an empirical process
DE10032465A1 (de) * 2000-07-04 2002-01-31 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Objekten
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
US20040236673A1 (en) * 2000-10-17 2004-11-25 Eder Jeff Scott Collaborative risk transfer system
US20090018891A1 (en) * 2003-12-30 2009-01-15 Jeff Scott Eder Market value matrix
US6819963B2 (en) * 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) * 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6911628B1 (en) * 2001-01-25 2005-06-28 Yamatake Corporation Control system and control unit
US6606537B1 (en) * 2001-04-19 2003-08-12 Kic Thermal Profiling Method for correcting process temperature profile in a multi-zone thermal processor
NL1018086C2 (nl) * 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
WO2002097540A1 (en) * 2001-05-25 2002-12-05 Parametric Optimization Solutions Ltd. Improved process control
DE10129141A1 (de) * 2001-06-16 2002-12-19 Abb Research Ltd Steuer- und Regelverfahren un Regeleinrichtung zum An- oder Abfahren einer verfahrenstechnischen Komponente eines technischen Prozesses
US7158840B2 (en) * 2001-06-29 2007-01-02 Cymer, Inc. Tuning control parameters of vibration reduction and motion control systems for fabrication equipment and robotic systems
AU2002346098A1 (en) * 2001-07-13 2003-01-29 Fsi International Robotic system control
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6810291B2 (en) * 2001-09-14 2004-10-26 Ibex Process Technology, Inc. Scalable, hierarchical control for complex processes
FI115081B (fi) * 2001-10-19 2005-02-28 Metso Automation Oy Menetelmä ja laitteisto paperikoneen massaosaston toiminnan ohjaamiseksi
DE60120192T2 (de) * 2001-11-29 2006-11-16 Abb Research Ltd. Optimaler Betrieb eines Kraftwerks
US7493470B1 (en) 2001-12-07 2009-02-17 Arc International, Plc Processor apparatus and methods optimized for control applications
US20040215522A1 (en) * 2001-12-26 2004-10-28 Eder Jeff Scott Process optimization system
US6785633B2 (en) * 2001-12-28 2004-08-31 General Electric Company Method and apparatus for assessing performance of combined cycle power-plants
US20030125906A1 (en) * 2001-12-28 2003-07-03 Guaglardi Paul A. Method and apparatus for assessing the impact of individual parts of a gas turbine component on the overall thermal performance of a gas turbine
US7730063B2 (en) * 2002-12-10 2010-06-01 Asset Trust, Inc. Personalized medicine service
US20080256069A1 (en) * 2002-09-09 2008-10-16 Jeffrey Scott Eder Complete Context(tm) Query System
US20080027769A1 (en) 2002-09-09 2008-01-31 Jeff Scott Eder Knowledge based performance management system
US20110040631A1 (en) * 2005-07-09 2011-02-17 Jeffrey Scott Eder Personalized commerce system
US6596973B1 (en) 2002-03-07 2003-07-22 Asm America, Inc. Pyrometer calibrated wafer temperature estimator
EP1343061A1 (de) * 2002-03-08 2003-09-10 Siemens Aktiengesellschaft Verfahren zur Simulation eines technischen Systems und Simulator
US7505877B2 (en) * 2002-03-08 2009-03-17 Johnson Controls Technology Company System and method for characterizing a system
US6864897B2 (en) * 2002-04-12 2005-03-08 Mitsubishi Electric Research Labs, Inc. Analysis, synthesis and control of data signals with temporal textures using a linear dynamic system
US7427329B2 (en) 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
US6843201B2 (en) * 2002-05-08 2005-01-18 Asm International Nv Temperature control for single substrate semiconductor processing reactor
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
US20040019393A1 (en) * 2002-07-25 2004-01-29 Eileen Heider System and method for model base control
US6818864B2 (en) 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US7050863B2 (en) * 2002-09-11 2006-05-23 Fisher-Rosemount Systems, Inc. Integrated model predictive control and optimization within a process control system
US6642486B1 (en) * 2002-11-07 2003-11-04 Illinois Tool Works, Inc. Method and apparatus for replicating heat profile of infrared oven
US7006900B2 (en) * 2002-11-14 2006-02-28 Asm International N.V. Hybrid cascade model-based predictive control system
CN1720490B (zh) * 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
US8463441B2 (en) 2002-12-09 2013-06-11 Hudson Technologies, Inc. Method and apparatus for optimizing refrigeration systems
WO2004059271A1 (de) * 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren zum bestimmen der temperatur eines halbleiterwafers in einer schnelheizanlage
DE10329107B4 (de) * 2002-12-23 2015-05-28 Mattson Thermal Products Gmbh Verfahren zum Bestimmung wenigstens einer Zustandsvariablen aus einem Modell eines RTP-Systems
US7619184B2 (en) * 2003-03-04 2009-11-17 Micron Technology, Inc. Multi-parameter process and control method
US20040181498A1 (en) * 2003-03-11 2004-09-16 Kothare Simone L. Constrained system identification for incorporation of a priori knowledge
US20040181300A1 (en) * 2003-03-11 2004-09-16 Clark Robert L. Methods, apparatus and computer program products for adaptively controlling a system by combining recursive system identification with generalized predictive control
US7231264B2 (en) * 2003-03-21 2007-06-12 Aspen Technology, Inc. Methods and articles for detecting, verifying, and repairing collinearity in a model or subsets of a model
DE10324045B3 (de) * 2003-05-27 2004-10-14 Siemens Ag Verfahren sowie Computerprogramm mit Programmcode-Mitteln und Computerprogramm-Produkt zur Ermittlung eines zukünftigen Systemverhaltens eines dynamischen Systems
US7181296B2 (en) * 2003-08-06 2007-02-20 Asml Netherlands B.V. Method of adaptive interactive learning control and a lithographic manufacturing process and apparatus employing such a method
JP4223894B2 (ja) * 2003-08-21 2009-02-12 株式会社山武 Pidパラメータ調整装置
US20050044892A1 (en) * 2003-08-28 2005-03-03 George Stephan P. Method and apparatus for heating glass sheets
US7447664B2 (en) * 2003-08-28 2008-11-04 Boeing Co Neural network predictive control cost function designer
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7187989B2 (en) * 2003-12-22 2007-03-06 Fakhruddin T Attarwala Use of core process models in model predictive controller
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US6964238B2 (en) * 2003-12-31 2005-11-15 Kimberly-Clark Worldwide, Inc. Process for making a garment having hanging legs
EP1553470B1 (en) * 2004-01-09 2008-12-31 Abb Research Ltd. Process control system
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
US6943327B2 (en) * 2004-02-04 2005-09-13 Lear Corporation Method for the progressive control of heating elements
WO2005077038A2 (en) * 2004-02-06 2005-08-25 Wisconsin Alumni Research Foundation Siso model predictive controller
US7203554B2 (en) * 2004-03-16 2007-04-10 United Technologies Corporation Model predictive controller with life extending control
US7203555B2 (en) * 2004-05-14 2007-04-10 University Of Delaware Predictive regulatory controller
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US20090043637A1 (en) * 2004-06-01 2009-02-12 Eder Jeffrey Scott Extended value and risk management system
US20070036467A1 (en) * 2004-07-26 2007-02-15 Coleman Christopher R System and method for creating a high resolution material image
US20060017740A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R Diurnal variation of geo-specific terrain temperatures in real-time infrared sensor simulation
US20060018566A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R System and method for adding spatial frequency into an image
US20060020563A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R Supervised neural network for encoding continuous curves
JP4925571B2 (ja) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
JP4428175B2 (ja) * 2004-09-14 2010-03-10 株式会社Sumco 気相エピタキシャル成長装置および半導体ウェーハの製造方法
US7502715B1 (en) * 2004-09-21 2009-03-10 Asml Netherlands B.V Observability in metrology measurements
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US7751908B2 (en) * 2004-12-02 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thermal process control
US20060167591A1 (en) * 2005-01-26 2006-07-27 Mcnally James T Energy and cost savings calculation system
US8713025B2 (en) 2005-03-31 2014-04-29 Square Halt Solutions, Limited Liability Company Complete context search system
US20060289795A1 (en) * 2005-06-02 2006-12-28 Dubois Dale R Vacuum reaction chamber with x-lamp heater
US7777197B2 (en) * 2005-06-02 2010-08-17 Applied Materials, Inc. Vacuum reaction chamber with x-lamp heater
JP4839702B2 (ja) * 2005-07-04 2011-12-21 オムロン株式会社 温度制御方法、調整装置、温度調節器、プログラム、記録媒体および熱処理装置
US7672739B2 (en) * 2005-08-11 2010-03-02 University Of South Florida System for multiresolution analysis assisted reinforcement learning approach to run-by-run control
US7451004B2 (en) 2005-09-30 2008-11-11 Fisher-Rosemount Systems, Inc. On-line adaptive model predictive control in a process control system
US7957847B2 (en) * 2005-09-30 2011-06-07 Hitachi Global Storage Technologies Netherlands, B.V. Voltage regulating systems responsive to feed-forward information from deterministic loads
GB2430764B (en) * 2005-09-30 2011-03-09 Fisher Rosemount Systems Inc On-line adaptive model predictive control in a process control system
US8498915B2 (en) * 2006-04-02 2013-07-30 Asset Reliance, Inc. Data processing framework for financial services
US8527252B2 (en) * 2006-07-28 2013-09-03 Emerson Process Management Power & Water Solutions, Inc. Real-time synchronized control and simulation within a process plant
DE102006036585B4 (de) * 2006-08-04 2008-04-17 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum Ermitteln von Messwerten
US7949417B2 (en) * 2006-09-22 2011-05-24 Exxonmobil Research And Engineering Company Model predictive controller solution analysis process
US7826909B2 (en) * 2006-12-11 2010-11-02 Fakhruddin T Attarwala Dynamic model predictive control
US7451054B2 (en) * 2007-01-30 2008-11-11 Tokyo Electron Limited Method of using a wafer-temperature-dependent profile library
JP5169046B2 (ja) * 2007-07-20 2013-03-27 ウシオ電機株式会社 光照射式加熱処理装置
US8064151B2 (en) * 2007-08-14 2011-11-22 Asml Netherlands B.V. Lithographic apparatus and thermal optical manipulator control method
US20090076773A1 (en) * 2007-09-14 2009-03-19 Texas Tech University Method for identifying unmeasured disturbances in process control test data
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
ES2337960T3 (es) * 2008-02-15 2010-04-30 Siemens Aktiengesellschaft Evaluacion fiable de una señal de medicion de temperaturas mediante la adaptacion dinamica de un modelo matematico.
CA2718911C (en) * 2008-03-20 2015-05-05 University Of New Brunswick Method of multi-dimensional nonlinear control
US8209045B2 (en) * 2008-04-07 2012-06-26 Honeywell International Inc. System and method for discrete supply chain control and optimization using model predictive control
JP5562529B2 (ja) * 2008-04-17 2014-07-30 大日本スクリーン製造株式会社 熱処理装置
US20090287320A1 (en) * 2008-05-13 2009-11-19 Macgregor John System and Method for the Model Predictive Control of Batch Processes using Latent Variable Dynamic Models
US8315746B2 (en) 2008-05-30 2012-11-20 Apple Inc. Thermal management techniques in an electronic device
JP5251267B2 (ja) * 2008-06-04 2013-07-31 富士電機株式会社 制御装置
US8306772B2 (en) 2008-10-13 2012-11-06 Apple Inc. Method for estimating temperature at a critical point
WO2010062287A1 (en) * 2008-11-25 2010-06-03 Utc Fire & Security Corporation Oxygen trim controller tuning during combustion system commissioning
US8260440B2 (en) * 2008-12-05 2012-09-04 The Regents Of The University Of Michigan Adaptive control based on retrospective cost optimization
US9758871B2 (en) * 2008-12-10 2017-09-12 Sumco Techxiv Corporation Method and apparatus for manufacturing epitaxial silicon wafer
DE102009016138A1 (de) * 2009-04-03 2010-10-14 Ipsen International Gmbh Verfahren und Computer-Programm zur Steuerung der Wärmebehandlung von metallischen Werkstücken
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8244502B2 (en) 2009-08-12 2012-08-14 International Business Machines Corporation Knowledge-based models for data centers
US20120284216A1 (en) * 2009-08-12 2012-11-08 International Business Machines Corporation Knowledge-Based Models for Data Centers
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5469678B2 (ja) * 2009-12-25 2014-04-16 キヤノンアネルバ株式会社 基板加熱処理装置の温度制御方法、半導体デバイスの製造方法、基板加熱処理装置の温度制御プログラム及び記録媒体
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130130184A1 (en) * 2011-11-21 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method for Controlling Wafer Temperature
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US9158313B2 (en) * 2012-07-25 2015-10-13 Broadcom Corporation System and method for supervised thermal management
AU2013319833B2 (en) * 2012-09-24 2016-04-21 Société des Produits Nestlé S.A. Methods and systems for coordination of aseptic sterilization and aseptic package filling rate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9189575B2 (en) 2013-03-11 2015-11-17 Toyota Motor Engineering & Manufacturing North America, Inc. SVR dynamic system modeling with delayed output measurements
US20140365413A1 (en) * 2013-06-06 2014-12-11 Qualcomm Incorporated Efficient implementation of neural population diversity in neural system
US20150148981A1 (en) * 2013-11-24 2015-05-28 Qualcomm Incorporated System and method for multi-correlative learning thermal management of a system on a chip in a portable computing device
US9476584B2 (en) 2013-12-12 2016-10-25 General Electric Company Controlling boiler drum level
JP2017034295A (ja) * 2013-12-13 2017-02-09 シャープ株式会社 基地局装置、端末装置、送信方法、および受信方法
DE102014201567B4 (de) 2014-01-29 2023-12-21 Bayerische Motoren Werke Aktiengesellschaft Modellbasierte prädiktive Starterregelung eines Verbrennungsmotors
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150300888A1 (en) * 2014-04-21 2015-10-22 National Taiwan University Temperature prediction system and method thereof
US9715221B2 (en) 2014-05-01 2017-07-25 Aspen Technology, Inc. Online control calculation for models containing near colinearity and uncertainty
TWI621001B (zh) * 2014-06-11 2018-04-11 蘇鴻德 藉由單變數控制單元達成多變數控制之控制器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9541906B2 (en) * 2014-09-11 2017-01-10 Hong-Te SU Controller capable of achieving multi-variable controls through single-variable control unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6380552B2 (ja) * 2014-12-11 2018-08-29 富士電機株式会社 制御装置、そのプログラム、プラント制御方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3304218B1 (en) * 2015-06-05 2022-09-07 Shell Internationale Research Maatschappij B.V. System and method for background element switching for models in model predictive estimation and control applications
US10671131B2 (en) 2015-06-05 2020-06-02 Apple Inc. Predictive control systems and methods
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10496054B2 (en) 2015-06-26 2019-12-03 Bayer Cropscience Ag Method for controlling technical processes with linearization
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
MX2018010593A (es) 2016-03-02 2019-08-12 Watlow Electric Mfg Dispositivo de almacenamiento termico para uso en un sistema de flujo de fluido.
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
CN105751470B (zh) * 2016-03-23 2017-12-12 广西科技大学 一种注塑机温度实时控制方法
US10486000B2 (en) * 2016-04-08 2019-11-26 Absorbergauge Llc Temperature-based estimation of scrubbing capacity of a gas scrubber
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10157761B2 (en) * 2016-08-17 2018-12-18 Kelk Ltd. Temperature controller of semiconductor wafer
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180363139A1 (en) * 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
JP7148944B2 (ja) * 2017-06-23 2022-10-06 国立大学法人広島大学 制御装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN109581870B (zh) * 2018-11-27 2022-01-25 中国工程物理研究院化工材料研究所 含能材料反应釜的釜内温度动态矩阵控制方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
TWI689221B (zh) * 2019-03-14 2020-03-21 國家中山科學研究院 基地站主動式智慧型散熱系統
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
US20220171373A1 (en) * 2019-03-29 2022-06-02 Lam Research Corporation Model-based scheduling for substrate processing systems
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11092354B2 (en) * 2019-06-20 2021-08-17 Johnson Controls Tyco IP Holdings LLP Systems and methods for flow control in an HVAC system
US11149976B2 (en) 2019-06-20 2021-10-19 Johnson Controls Tyco IP Holdings LLP Systems and methods for flow control in an HVAC system
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11604459B2 (en) 2019-07-12 2023-03-14 Emerson Process Management Power & Water Solutions, Inc. Real-time control using directed predictive simulation within a control system of a process plant
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN110442991B (zh) * 2019-08-12 2021-05-04 江南大学 一种基于参数化fir模型的动态硫回收软测量建模方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
DE102019130383A1 (de) * 2019-11-11 2021-05-12 Rational Aktiengesellschaft Verfahren zum Regeln eines Zubereitungsgerätes, Regeleinheit sowie Zubereitungsgerät
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11441800B2 (en) 2020-01-07 2022-09-13 FPL Smart Services, LLC Autonomous machine learning diagonostic system with simplified sensors for home appliances
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
CN112181008B (zh) * 2020-09-02 2022-06-21 珠海泰坦新动力电子有限公司 高温化成柜热源功率智能控制方法、装置及介质
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
SE544494C2 (en) * 2020-10-21 2022-06-21 Senseair Ab Temperature controller for a temperature control mechanism
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114510863A (zh) * 2020-11-16 2022-05-17 鸿富锦精密电子(成都)有限公司 回焊炉炉温调节方法、装置、电子设备及存储介质
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113203499B (zh) * 2021-04-02 2022-03-18 华中科技大学 一种瞬态温度测量校准方法及系统
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023141135A (ja) * 2022-03-23 2023-10-05 株式会社Screenホールディングス 熱処理装置
CN115268270A (zh) * 2022-07-29 2022-11-01 华能伊敏煤电有限责任公司 一种多源热网加热器优化调度方法、系统、设备及介质

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4358822A (en) * 1976-08-04 1982-11-09 Sanchez Juan M Adaptive-predictive control system
US4761538A (en) * 1985-11-21 1988-08-02 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for controlling the temperature of a radiantly heated object
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US5301101A (en) * 1990-06-21 1994-04-05 Honeywell Inc. Receding horizon based adaptive control having means for minimizing operating costs
US5488561A (en) * 1992-08-19 1996-01-30 Continental Controls, Inc. Multivariable process control method and apparatus

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3836751A (en) 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
US4255133A (en) 1978-04-10 1981-03-10 Hitachi, Ltd. Method for controlling furnace temperature of multi-zone heating furnace
US4349869A (en) 1979-10-01 1982-09-14 Shell Oil Company Dynamic matrix control method
IL62597A (en) 1980-04-07 1984-03-30 Martin Sanchez Juan Adaptive-predictive control system
US4714988A (en) 1982-03-26 1987-12-22 Kabushiki Kaisha Toshiba Feedforward feedback control having predictive disturbance compensation
US4639853A (en) 1983-06-03 1987-01-27 Omron Tateisi Electronics Co. Time-discrete adaptive switching on-off controller
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
JPS61145606A (ja) 1984-12-19 1986-07-03 Ohkura Electric Co Ltd 干渉対応形パタ−ン切換式温度制御装置
US4720807A (en) 1985-05-20 1988-01-19 Vacuum General, Inc. Adaptive pressure control system
US4694390A (en) 1985-06-28 1987-09-15 Electric Power Research Institute, Inc. Microprocessor-based control and diagnostic system for motor operated valves
US4680451A (en) 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4634946A (en) 1985-10-02 1987-01-06 Westinghouse Electric Corp. Apparatus and method for predictive control of a dynamic system
JPS62192281U (US06373033-20020416-M00067.png) 1986-05-27 1987-12-07
US4736316A (en) 1986-08-06 1988-04-05 Chevron Research Company Minimum time, optimizing and stabilizing multivariable control method and system using a constraint associated control code
US4769766A (en) 1986-09-11 1988-09-06 Tung Hsien Hsin Robust model reference controller
JPH0196701A (ja) 1987-10-09 1989-04-14 Nobuo Yamamoto 内部モデル協調型フイードフオワード手法を用いた制御系
US4959767A (en) 1988-11-23 1990-09-25 Elsag International B.V. Parameter estimation technique for closed loop system
US5032977A (en) 1989-06-13 1991-07-16 Elsag International B.V. System for modeling and control for delignification of pulping
JPH0786783B2 (ja) 1989-11-04 1995-09-20 勝久 古田 調整入力による炉温制御装置
US5291514A (en) 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
CA2054423C (en) * 1991-08-09 1999-03-16 Robert J. Schurko Adaptive control for reheat furnace
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5755511A (en) 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JP3956057B2 (ja) 1996-01-31 2007-08-08 エイエスエム アメリカ インコーポレイテッド 熱処理のモデル規範型予測制御
US5793022A (en) 1996-09-12 1998-08-11 Applied Materials, Inc. Adaptive temperture controller and method of operation

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4358822A (en) * 1976-08-04 1982-11-09 Sanchez Juan M Adaptive-predictive control system
US4761538A (en) * 1985-11-21 1988-08-02 Dainippon Screen Mfg. Co., Ltd. Method and apparatus for controlling the temperature of a radiantly heated object
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US5301101A (en) * 1990-06-21 1994-04-05 Honeywell Inc. Receding horizon based adaptive control having means for minimizing operating costs
US5488561A (en) * 1992-08-19 1996-01-30 Continental Controls, Inc. Multivariable process control method and apparatus

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP0879547A4 *

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999013385A1 (es) * 1997-09-05 1999-03-18 Communaute Europeenne (Ce) Sistema neuronal de control predictivo no lineal
US7065511B2 (en) 1997-10-01 2006-06-20 Aspen Technology, Inc. Non-linear dynamic predictive device
WO1999017175A1 (en) * 1997-10-01 1999-04-08 Aspen Technology, Inc. Non-linear dynamic predictive device
US6453308B1 (en) 1997-10-01 2002-09-17 Aspen Technology, Inc. Non-linear dynamic predictive device
JP2000183072A (ja) * 1998-10-07 2000-06-30 Kokusai Electric Co Ltd 半導体製造装置およびその温度制御方法
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
CN100371842C (zh) * 1999-09-23 2008-02-27 Kic热仿形公司 控制传送带型热处理器中工件的温度响应的方法与设备
US6453219B1 (en) 1999-09-23 2002-09-17 Kic Thermal Profiling Method and apparatus for controlling temperature response of a part in a conveyorized thermal processor
WO2001022188A1 (en) * 1999-09-23 2001-03-29 Kic Thermal Profiling, Inc. Method and apparatus for controlling temperature response of a part in a conveyorized thermal processor
KR100423183B1 (ko) * 2001-03-21 2004-03-18 코닉 시스템 주식회사 적응제어방법을 이용한 고속 열처리 공정기의 온도 제어장치 및 제어방법
WO2002095087A1 (fr) * 2001-05-18 2002-11-28 Tokyo Electron Limited Procede et dispositif de traitement sous vide
CN108694288A (zh) * 2018-05-29 2018-10-23 中南大学 快速获取步进梁式钢坯加热炉不同产率下设置温度的方法
CN108694288B (zh) * 2018-05-29 2021-04-30 中南大学 快速获取步进梁式钢坯加热炉不同产率下设置温度的方法
CN108776432A (zh) * 2018-06-08 2018-11-09 哈尔滨工程大学 基于网络的机场跑道检测机器人预测控制方法
CN109855763A (zh) * 2018-12-29 2019-06-07 宏景科技股份有限公司 一种基于bp神经网络与plc的温度传感器校正方法

Also Published As

Publication number Publication date
DE69728256D1 (de) 2004-04-29
AU1843597A (en) 1997-08-22
EP0879547A4 (US06373033-20020416-M00067.png) 1998-12-16
EP0879547A2 (en) 1998-11-25
US6373033B1 (en) 2002-04-16
DE69728256T2 (de) 2005-03-03
JP3956057B2 (ja) 2007-08-08
EP0879547B1 (en) 2004-03-24
US6207936B1 (en) 2001-03-27
KR19990082210A (ko) 1999-11-25
KR100486158B1 (ko) 2005-11-08
JP2000509171A (ja) 2000-07-18

Similar Documents

Publication Publication Date Title
EP0879547B1 (en) Model-based predictive control of thermal processing
WO1997028669A9 (en) Model-based predictive control of thermal processing
US7006900B2 (en) Hybrid cascade model-based predictive control system
Yoshitani et al. Model-based control of strip temperature for the heating furnace in continuous annealing
US5517594A (en) Thermal reactor optimization
Dassau et al. Modeling and temperature control of rapid thermal processing
Cho et al. Control of rapid thermal processing: A system theoretic approach
AU3956695A (en) A variable horizon predictor for controlling dead time dominant processes, multivariable interactive processes, and processes with time variant dynamics
JP6804027B2 (ja) 基板処理装置、温度制御方法、半導体装置の製造方法及び温度制御プログラム
Kailath et al. Control for advanced semiconductor device manufacturing: A case history
US6711531B1 (en) Temperature control simulation method and apparatus
Parlos et al. Neuro-predictive process control using online controller adaptation
Zhong et al. Adaptive cautious regularized run-to-run controller for lithography process
Deng et al. A novel neural internal model control for multi-input multi-output nonlinear discrete-time processes
Schaper et al. Modeling and control of rapid thermal processing
US6647354B1 (en) Iterative learning update for batch mode processing
De Keyser et al. Application of the NEPSAC nonlinear predictive control strategy to a semiconductor reactor
Huang et al. Identification for monitoring and autotuning of PID controllers
KR20020050015A (ko) 급속 열처리 장치에서 최적 학습 제어 기법을 이용한웨이퍼의 온도 균일성 제어 방법
Won et al. Combined iterative learning and delta-operator adaptive linear quadratic Gaussian control of a commercial rapid thermal processing system
Siddikov et al. Configuring Smith predictor parameters for a variable line feature
KR100221231B1 (ko) 모델기반 예측제어 시스템 및 방법
US20080281438A1 (en) Critical dimension estimation
Santhosh et al. Fractional-Order Controller design for Electrical Systems-A Study
Pataro et al. Predictive control strategies for solar furnace systems on the basis of practical constrained solutions

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE HU IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK TJ TM TR TT UA UG UZ VN AM AZ BY KG KZ MD RU TJ TM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): KE LS MW SD SZ UG AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
COP Corrected version of pamphlet

Free format text: PAGES 1/28-28/28,DRAWINGS,REPLACED BY NEW PAGES BEARING THE SAME NUMBER;DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

CFP Corrected version of a pamphlet front page
CR1 Correction of entry in section i

Free format text: PAT.BUL.34/97 UNDER INID (30) "PRIORITY DATA", REPLACE "08/594838" BY "08/597438"

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWE Wipo information: entry into national phase

Ref document number: 1019980705938

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1997904022

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1997904022

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1019980705938

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1997904022

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 1019980705938

Country of ref document: KR