TWI694549B - 半導體結構與其形成方法 - Google Patents

半導體結構與其形成方法 Download PDF

Info

Publication number
TWI694549B
TWI694549B TW107141442A TW107141442A TWI694549B TW I694549 B TWI694549 B TW I694549B TW 107141442 A TW107141442 A TW 107141442A TW 107141442 A TW107141442 A TW 107141442A TW I694549 B TWI694549 B TW I694549B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
gate
dielectric
semiconductor structure
Prior art date
Application number
TW107141442A
Other languages
English (en)
Other versions
TW201937659A (zh
Inventor
紀志堅
李佩璇
蘇鴻文
魏孝寬
簡瑞芬
許馨云
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201937659A publication Critical patent/TW201937659A/zh
Application granted granted Critical
Publication of TWI694549B publication Critical patent/TWI694549B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3245Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76262Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using selective deposition of single crystal silicon, i.e. SEG techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

此處所述的實施例一般關於蓋層的形成製程與其形成的結構。在一實施例中,形成於介電層中的導電結構具有金屬化表面,而介電層具有介電表面。進行表面修飾處理,可將介電表面修飾為疏水性。在修飾介電表面之後,進行選擇性沉積製程以形成蓋層於金屬化表面上。在另一實施例中,由介電層露出閘極結構的表面。進行選擇性沉積製程,可形成蓋層於閘極結構的表面上。

Description

半導體結構與其形成方法
本發明實施例關於關於形成蓋層(如金屬蓋)於金屬化表面上以及其形成的結構。
當半導體產業朝向奈米技術的製程節點邁進,以達更高的裝置密度、更高的效能、與更低的成本時,在三維設計如鰭狀場效電晶體面臨製程與設計的問題。鰭狀場效電晶體通常包含高高寬比的半導體鰭狀物,且通道與源極/汲極區形成其中。閘極沿著鰭狀結構之側壁延伸至鰭狀結構上(比如包覆鰭狀結構),可增加通道的表面積以製作更快、更可信、與更易控制的半導體電晶體裝置。然而隨著尺寸縮小而存在新的挑戰。
本發明一實施例提供之半導體結構的形成方法,包括:形成第一導電結構於第一介電層中,第一導電結構具有金屬化表面,而第一介電層具有介電表面;進行表面修飾處理,將介電表面修飾為疏水性;在修飾介電表面之後,進行選擇性沉積製程以形成蓋層於金屬化表面上;以及形成第二介電層於蓋層及介電表面上。
本發明一實施例提供之半導體結構,包括:第一 介電層,位於基板上,且第一介電層具有被含有疏水官能基的物種封端的介電表面;導電結構,位於第一介電層中;金屬蓋,位於導電結構上;以及第二介電層,位於介電表面與金屬蓋上。
本發明一實施例提供之半導體結構,包括:閘極結構,位於基板上的主動區上;第一介電層,位於基板上並沿著閘極結構;金屬蓋,位於閘極結構上;第二介電層,位於金屬蓋與第一介電層上;以及導電結構,穿過第二介電層以達金屬蓋。
A-A、B-B:剖面
40:鰭狀場效電晶體
42、70:半導體基板
44:隔離區
46a、46b、74:鰭狀物
48a、48b:閘極介電層
50a、50b:閘極
52a、52b、72、84:遮罩
54a、54b、54c、54d、54e、54f:源極/汲極區
76:溝槽
78:隔離區
80:界面介電層
82:虛置閘極
86:閘極間隔物
90、118:凹陷
92:磊晶源極/汲極區
96:接點蝕刻停止層
100:第一層間介電層
110、154、184:介電層
112:閘極介電層
114:順應層
116:閘極
120、150、180:修飾表面
122、152、182:蓋層
130:第二層間介電層
132:開口
140:黏著層
142、172:阻障層
144:矽化物區
146、174:導電充填材料
156、186:導電結構
170:金屬間介電層
202、204、206、208、210、212、252、254、256、258、260、262:步驟
第1圖係一些實施例中,簡化的鰭狀場效電晶體其三維圖。
第2A-2B、3A-3B、4A-4B、5A-5B、6A-6B、7A-7B、8A-8B、9A-9B、10A-10B、11A-11B、12A-12B、13A-13B、14A-14B、與15A-15B圖係一些實施例中,半導體裝置於形成製程的中間階段內的個別中間結構之剖視圖。
第16A-16B、17A-17B、18A-18B、與19A-19B圖係一些實施例中,半導體裝置於形成製程的中間階段內的個別中間結構之剖視圖。
第20圖係一些實施中,半導體裝置的形成製程其流程圖。
第21至28圖係一些實施例中,半導體裝置於形成製程的中間階段內的個別中間結構之剖視圖。
第29圖係一些實施中,半導體裝置的形成製程其流程圖。
下述揭露內容提供許多不同實施例或實例以實施 本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
此處所述的實施例一般關於形成蓋層(如金屬蓋)於金屬化表面上以及其形成的結構。在一些實施例中,在選擇性沉積以形成蓋層之前,先修飾介電層的介電表面。在這些實施例中,修飾表面可用於介電層的保護層,比如改善選擇性沉積的選擇性,並減少介電層損傷。在一些實施例中,進行選擇性沉積以形成蓋層於閘極結構上。閘極結構上的蓋層可減少閘極結構至接點的電阻,更特別用於短通道裝置上的閘極結構。一些實施例可達其他優點。
下述內容廣泛地指出一些實施例。此處所述的一些實施例其上下文關於鰭狀場效電晶體,更特別關於用在鰭狀場效電晶體的置換閘極製程。此處所述的一些實施例其上下文為金屬化步驟中的導電結構。本發明一些實施例的實施方式可 用於其他製程及/或其他裝置。舉例來說,其他裝置的例子可包含平面場效電晶體、水平閘極全繞式場效電晶體、垂直閘極全繞式場效電晶體、奈米線通道場效電晶體、或其他裝置。其他製程的例子可包含閘極優先製程。下述內容為方法與結構的一些變化例。本技術領域中具有通常知識者應理解其他修飾亦屬其他實施例的範疇。雖然實施例的方法以特定順序說明,但其他實施例的方法亦可以任何合邏輯的順序進行,並可比此處所述的方法包含較少或較多的步驟。
第1圖係簡化的鰭狀場效電晶體40之一例的三維圖。圖示或未圖示於第1圖中的其他實施例,將於後續圖式與說明中更清楚說明。第1圖中的結構可電性連接或耦接,以操作一或多個電晶體(如4個電晶體)。
鰭狀場效電晶體40包含鰭狀物46a與46b於半導體基板42上。半導體基板42包含隔離區44,且鰭狀物46a與46b各自由相鄰的隔離區44之間向上凸起。閘極介電層48a與48b分別沿著鰭狀物46a與46b的側壁與上表面,且閘極50a與50b分別位於閘極介電層48a與48b上。此外,遮罩52a與52b分別位於閘極50a與50b上。源極/汲極區54a-f位於鰭狀物46a與46b的個別區域中。源極/汲極區54a與54b位於鰭狀物46a的兩側區域中,即相對於閘極介電層48a與閘極層50a的兩側。源極/汲極區54b與54c位於鰭狀物46a的兩側區域中,即相對於閘極介電層48b與閘極層50b的兩側。源極/汲極區54d與54e位於鰭狀物46b的兩側區域中,即相對於閘極介電層48a與閘極層50a的兩側。源極/汲極區54e與54f位於鰭狀物46b的兩側區域中,即相對於閘極 介電層48b與閘極層50b的兩側。
在一些例子中,可實施四個電晶體,其包含(1)源極/汲極區54a與54b、閘極介電層48a、及閘極50a;(2)源極/汲極區54b與54c、閘極介電層48b、及閘極50b;(3)源極/汲極區54d與54e、閘極介電層48a、及閘極50a;以及(4)源極/汲極區54e與54f、閘極介電層48b、與閘極50b。如上述內容,多種電晶體可共用一些源極/汲極區,且相鄰的電晶體可共用其他源極/汲極區(未圖示)。在一些例子中,多種源極/汲極區中的一者可連接或耦合在一起,使鰭狀場效電晶體可實施為兩個功能電晶體。舉例來說,若相鄰(比如兩側)的源極/汲極區54a-f電性相連,比如經由磊晶成長合併的區域(如合併的源極/汲極區54a與54d、合併的源極/汲極區54b與54e、或類似物),即可實施兩個功能電晶體。其他例子中的其他設置可實施其他數目的功能電晶體。
第1圖亦顯示後續圖式所用的參考剖面。剖面A-A沿著兩側的源極/汲極區54a-c之間的鰭狀物46a中的通道。剖面B-B垂直於剖面A-A,且越過鰭狀物46a中的源極/汲極區54a與鰭狀物46b中的源極/汲極區54d。後續圖式將參考這些參考剖面以清楚說明。後續圖式末尾為「A」者指的是製程之多種例子中,對應剖面A-A的剖面。末尾為「B」者指的是製程之多種例子中,對應剖面B-B的剖面。在一些圖式中,為方便製圖可省略構件或結構的一些標號,以避免擋住其他構件或結構。
第2A-B圖至第15A-B圖係一些實施例中,半導體裝置於形成製程的中間階段內的個別中間結構之剖視圖。第2A 與2B圖顯示半導體基板70。半導體基板70可為或包括半導體基體基板、絕緣層上半導體基板、或類似物,且可摻雜(比如摻雜p型摻質或n型摻質)或未摻雜。一般而言,絕緣層上半導體基板包含半導體材料層形成於絕緣層上。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。絕緣層提供於基板如矽基板或玻璃基板上。此外亦可採用其他基板如多層或組成漸變基板。在一些實施例中,半導體基板的半導體材料可包含半導體元素如矽或鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、或磷砷化鎵銦;或上述之組合。
如第3A與3B圖所示,形成鰭狀物74於半導體基板70中。在一些例子中,遮罩72如硬遮罩可用於形成鰭狀物74。舉例來說,沉積一或多個遮罩層於半導體基板70上,接著圖案化一或多個遮罩層以形成遮罩72。在一些例子中,一或多個遮罩層可為或包括氮化矽、氮氧化矽、碳化矽、氮碳化矽、類似物、或上述之組合,且其沉積方法可為化學氣相沉積、物理氣相沉積、原子層沉積、或另一沉積技術。可採用光微影圖案化一或多個遮罩層。舉例來說,可形成光阻於一或多個遮罩層上,且光阻的形成方法可為旋轉塗佈。接著可採用適當光罩曝光光阻。接著移除光阻的曝光或未曝光部份以圖案化光阻,端視光阻為正型或負型而定。接著採用合適的蝕刻製程將光阻圖案轉移至一或多個遮罩層,以形成遮罩72。蝕刻製程可包含反應性離子蝕刻製程、中性束蝕刻、誘導耦合電漿蝕刻、類似製 程、或上述之組合。蝕刻製程可為非等向。舉例來說,接著可在灰化或濕式剝除製程中移除光阻。
可採用遮罩72並蝕刻半導體基板70,以形成溝槽76於一對相鄰的鰭狀物74之間,因此鰭狀物74自半導體基板70凸起。蝕刻製程可包含反應性離子蝕刻、中性束蝕刻、誘導耦合電漿蝕刻、類似蝕刻、或上述之組合。蝕刻製程可為非等向性。
如第4A與4B圖所示,形成隔離區78,且每一隔離區78位於對應的溝槽76中。隔離區78可為或包含絕緣材料如氧化物(比如氧化矽)、氮化物、類似物、或上述之組合,且絕緣材料的形成方法可為高密度電漿化學氣相沉積、可流動的化學氣相沉積(比如在遠端電漿系統中沉積化學氣相沉積為主的材料,之後硬化材料使其轉變為另一材料如氧化物)、類似方法、或上述之組合。此外亦可採用任何可接受的製程形成的其他絕緣材料。在例示性的實施例中,隔離區78包含氧化矽,其形成方法為可流動的化學氣相沉積製程。平坦化製程如化學機械研磨可移除任何多餘的絕緣材料與殘留的遮罩(用於蝕刻溝槽76與形成鰭狀物74),使絕緣材料的上表面與鰭狀物74的上表面共平面。接著可使絕緣材料凹陷化,以形成隔離區78。絕緣材料凹陷化後,鰭狀物74自相鄰的隔離區78之間凸起,其可劃定(或至少部份地劃定)鰭狀物74為半導體基板70上的主動區。絕緣材料凹陷化的方法可採用可接受的蝕刻製程,比如對絕緣材料具有選擇性的蝕刻製程。舉例來說,化學氧化物移除的方法可採用CERTAS®蝕刻、Applied Materials的SICONI工具、或稀 氫氟酸。此外,隔離區78的上表面可如圖示般平坦,亦可凸起、凹陷(如碟狀)、或上述之組合,其可取決於蝕刻製程。
本技術領域中具有通常知識者應理解,第2A-B圖至第4A-B圖所述的製程僅用以舉例說明如何形成鰭狀物74。在其他實施例中,可形成介電層於半導體基板70的上表面上;可蝕刻穿過介電層以形成溝槽;可磊晶成長同質磊晶結構於溝槽中;以及可使介電層凹陷化,使同質磊晶結構自介電層凸起以形成鰭狀物。在其他實施例中,異質磊晶結構可用於鰭狀物。舉例來說,可在平坦化隔離區78的絕緣材料之後,並在使絕緣材料凹陷化之前使鰭狀物74凹陷化,並磊晶成長不同於鰭狀物的材料於凹陷處。在另一實施例中,可形成介電層於半導體基板70的上表面上;可蝕刻穿過介電層以形成溝槽;可磊晶成長不同於半導體基板70的材料之異質磊晶結構於溝槽中;且可使半導體層凹陷化,讓異質磊晶結構自介電層凸起以形成鰭狀物。在一些實施例中,在磊晶成長同質磊晶結構或異質磊晶結構時,可原位摻雜成長的材料以省略先佈植鰭狀物的步驟,但原位摻雜與佈植摻雜亦可搭配使用。另一方面,用於n型裝置的磊晶成長材料不同於用於p型裝置的磊晶成長材料可具有優點。
如第5A與5B圖所示,形成虛置閘極堆疊或更一般的虛置閘極結構於鰭狀物74上。虛置閘極堆疊位於鰭狀物74上,且其橫向延伸方向垂直於鰭狀物74。每一虛置閘極堆疊包含界面介電層80、虛置閘極82、與遮罩84。用於虛置閘極堆疊的界面介電層80、虛置閘極82、與遮罩84的形成方法可依序形 成個別的層狀物,接著圖案化這些層狀物為虛置閘極堆疊。舉例來說,用於界面介電層80的層狀物可為或包含氧化矽、氮化矽、類似物、或上述之多層,且其形成方法可為熱成長及/或化學成長於鰭狀物74上,或順應性沉積製程如電漿增強化學氣相沉積、原子層沉積、或另一沉積技術。用於虛置閘極82的層狀物可為或包含矽(如多晶矽)或另一材料,其沉積方法可為化學氣相沉積、物理氣相沉積、或另一沉積技術。用於遮罩84的層狀物可為或包含氮化矽、氮氧化矽、氮碳化矽、類似物、或上述之組合,其沉積方法可為化學氣相沉積、物理氣相沉積、原子層沉積、或另一沉積技術。舉例來說,接著可採用光微影與一或多道蝕刻製程如前述,圖案化用於遮罩84、虛置閘極82、與界面介電層80的層狀物,以形成用於每一虛置閘極堆疊的遮罩84、虛置閘極82、與界面介電層80。
在一些實施例中,在形成虛置閘極堆疊之後,可形成輕摻雜汲極區(未特別圖示)於主動區中。舉例來說,可採用虛置閘極堆疊作為遮罩,並佈植摻質至主動區中。舉例來說,用於輕摻雜汲極區的摻質可為或包含用於p型裝置的硼與用於n型裝置的磷或砷,但亦可採用其他摻質。輕摻雜汲極區的摻質濃度可介於約1015cm-3至約1017cm-3之間。
如第6A與6B圖所示,形成閘極間隔物86。閘極間隔物86沿著虛置閘極堆疊的側壁(如界面介電層80、虛置閘極82、與遮罩84的側壁),並位於鰭狀物74上。舉例來說,剩餘的閘極間隔物86亦可沿著鰭狀物74的側壁(未圖示於第6B圖中)形成,端視隔離區78上的鰭狀物74其高度而定。舉例來說,閘 極間隔物86的形成方法可為順應性地沉積用於閘極間隔物86的一或多層,並非等向蝕刻一或多層。用於閘極間隔物86的一或多層可為或包含碳氧化矽、氮化矽、氮氧化矽、氮碳化矽、類似物、上述之多層、或上述之組合,且其沉積方法可為化學氣相沉積、原子層沉積、或另一沉積技術。蝕刻製程可包含反應性離子蝕刻、中性束蝕刻、或另一蝕刻製程。
如第7A與7B圖所示,形成凹陷90以用於源極/汲極區。如圖所示,凹陷90形成於鰭狀物74中,且位於虛置閘極堆疊的兩側上。凹陷化步驟可為蝕刻製程。蝕刻製程可為等向或非等向,或者進一步對半導體基板70的一或多個結晶平面具有選擇性。如此一來,凹陷90可具有多種剖面形狀,端視實施的蝕刻製程而定。蝕刻製程可為乾蝕刻,比如反應性離子蝕刻、中性束蝕刻、或類似方法,或濕蝕刻如採用氫氧化四甲基銨、氫氧化銨、或另一蝕刻劑的濕蝕刻。
如第8A與8B圖所示,形成磊晶源極/汲極區92於凹陷90中。磊晶源極/汲極區92可為或包含矽鍺(SixGe1-x,其中x介於近似0至1之間),碳化矽、磷化矽、磷碳化矽、純或實質上純的鍺、III-V族半導體化合物、II-VI族半導體化合物、或類似物。舉例來說,用於形成III-V族半導體化合物的材料包含砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化銦鎵、砷化銦鋁、銻化鎵、銻化鋁、磷化鋁、磷化鎵、或類似物。磊晶源極/汲極區92形成於凹陷90中的方法可為磊晶成長材料於凹陷90中,且磊晶成長方法可為有機金屬化學氣相沉積、分子束磊晶、液相磊晶、氣相磊晶、選擇性磊晶成長、類似方法、或上 述之組合。如第8A與8B圖所示,由於隔離區78的阻擋效果,磊晶源極/汲極區92先垂直地成長於凹陷90中,此時磊晶源極/汲極區92不會水平地成長。在完全填滿隔離區78之間的凹陷90之後,磊晶源極/汲極區92可垂直地與水平地成長以形成晶面,而晶面可對應半導體基板70的結晶平面。在一些例子中,用於p型裝置與n型裝置的磊晶源極/汲極區可採用不同材料。在凹陷化或磊晶成長步驟時可採用合適遮罩,以形成不同材料於不同裝置中。
本技術領域中具有通常知識者亦應理解,可省略第7A-B與8A-B圖的凹陷化與磊晶成長製程,並可採用虛置閘極堆疊與閘極間隔物86作為遮罩,佈植摻質至鰭狀物74中以形成源極/汲極區。在一些例子中,若形成摻雜磊晶源極/汲極區92,亦可摻雜磊晶源極/汲極區92,且摻雜方法可為在磊晶成長時的原位摻雜,及/或在磊晶成長後佈植摻質至磊晶源極/汲極區92中。舉例來說,用於源極/汲極區的摻雜物例子可為或包含用於p型裝置的硼,或用於n型裝置的磷或砷,但亦可採用其他摻質。磊晶源極/汲極區92(或其他源極/汲極區)的摻質濃度可介於約1019cm-3至約1021cm-3之間。因此摻雜(比如佈植及/或磊晶成長時的臨場摻雜,若適當的話)及/或磊晶成長若適當的話,可劃定源極/汲極區,且上述製程可進一步劃定主動區,其中劃定有源極/汲極區。
如第9A與9B圖所示,形成接點蝕刻停止層96,並形成第一層間介電層100於接點蝕刻停止層96上。一般而言,蝕刻停止層在形成接點或通孔時,可提供停止蝕刻製程的機 制。蝕刻停止層之組成可為介電材料,其與相鄰的層狀物或構件具有不同的蝕刻選擇性。接點蝕刻停止層96順應性地沉積於磊晶源極/汲極區92的表面上、閘極間隔物86的側壁與上表面上、遮罩84的上表面上、以及隔離區78的上表面上。接點蝕刻停止層96可為或包含氮化矽、氮碳化矽、碳氧化矽、氮化碳、類似物、或上述之組合,且其沉積方法可為化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、或另一沉積技術。第一層間介電層100可為或包含氧化矽、低介電常數的介電材料(如介電常數低於氧化矽的材料)如氮氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、有機矽酸鹽玻璃、碳氧化矽、旋轉塗佈玻璃、旋轉塗佈聚合物、碳矽材料、上述之化合物、上述之複合材料、類似物、或上述之組合。第一層間介電層100的沉積方法可為旋轉塗佈、化學氣相沉積、可流動的化學氣相沉積、電漿增強化學氣相沉積、物理氣相沉積、或另一沉積技術。
如第10A與10B圖所示,將虛置閘極堆疊置換為置換閘極結構。形成第一層間介電層100與接點蝕刻停止層96,且其上表面與虛置閘極82的上表面共平面。可進行平坦化製程如化學機械研磨,使第一層間介電層100與接點蝕刻停止層96的上表面與虛置閘極82的上表面齊平。化學機械研磨亦可移除虛置閘極82上的遮罩84(以及一些例子中的閘極間隔物86其上側部份)。綜上所述,可由第一層間介電層100與接點蝕刻停止層96露出虛置閘極82的上表面。
移除由第一層間介電層100與接點蝕刻停止層96 露出的虛置閘極82,且移除方法可為一或多道蝕刻製程。虛置閘極82的移除方法可為對虛置閘極具有選擇性的蝕刻製程,其中界面介電層80作為蝕刻停止層。接著可視情況以不同的蝕刻製程移除界面介電層80,且此蝕刻製程對界面介電層80具有選擇性。舉例來說,蝕刻製程可為反應性離子蝕刻、中性束蝕刻、濕蝕刻、或另一蝕刻製程。移除虛置閘極堆疊的位置將形成凹陷於閘極間隔物86之間,並經由凹陷露出鰭狀物74的通道區。
移除虛置閘極堆疊後所形成的凹陷,置換閘極結構可形成於此凹陷中。如圖所示,置換閘極結構各自包含界面介電層110、閘極介電層112、一或多個視情況形成的順應層114、與閘極116。界面介電層110沿著通道區的鰭狀物74其側壁與上表面形成。舉例來說,若未移除界面介電層80,則界面介電層110可為未移除的界面介電層80如氧化物(比如氧化矽),其形成方法可為熱氧化或化學氧化鰭狀物74。另一方面,界面介電層可為氧化物(比如氧化矽)、氮化物(比如氮化矽)、及/或另一介電層,其形成方法可為化學器相沉積、原子層沉積、分子束沉積、或另一沉積技術。在一實施例中,界面介電層110可為未移除的界面介電80與上述另外形成的介電層。
移除虛置閘極堆疊後所形成的凹陷,閘極介電層112可順應性地沉積於此凹陷中,比如沉積於隔離區78的上表面上、界面介電層110上、與閘極間隔物86的側壁上。閘極介電層112亦可順應性地沉積於第一層間介電層100、接點蝕刻停止層96、與閘極間隔物86的上表面上。閘極介電層112可為或包含氧化矽、氮化矽、高介電常數的介電材料、上述之多層、 或其他介電材料。高介電常數的介電材料其k值可大於約7.0,且可包含下述金屬之金屬氧化物或金屬矽酸鹽:鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛、上述之多層、或上述之組合。閘極介電層112的沉積方法可為原子層沉積、電漿增強化學氣相沉積、分子束沉積、或另一沉積技術。
接著可順應性地(及依序,若超過一個層狀物)沉積一或多個視情況形成的順應114於閘極介電層112上。一或多個視情況形成的順應層114可包含一或多個阻障及/或蓋層,以及一或多個功函數調整層。一或多個阻障及/或蓋層可包含鉭及/或鈦的氮化物、氮矽化物、氮碳化物、氮鋁化物;鎢的氮化物、氮碳化物、及/或碳化物;類似物;或上述之組合,且其沉積方法可為原子層沉積、電漿增強化學氣相沉積、分子束沉積、或另一沉積技術。一或多個功函數層調整層可為或包含鈦及/或鉭的氮化物、氮矽化物、氮碳化物、氮鋁化物、氧鋁化物、及/或碳鋁化物;鎢的氮化物、氮碳化物、及/或碳化物;鈷;鉑;類似物;或上述之組合,且其沉積方法可為原子層沉積、電漿增強化學氣相沉積、分子束沉積、或另一沉積技術。在一些例子中,蓋層(如氮化鈦層)順應性地形成於閘極介電層112上,阻障層(如氮化鉭層)順應性地形成於蓋層上,且一或多個功函數調整層順應性地依序形成於阻障層上。
用於閘極116的層狀物可形成於一或多個視情況形成(若存在)的順應層114上(比如一或多個功函數調整層上),及/或閘極介電層112上。閘極116的層狀物可填入移除虛置閘極堆疊所形成的凹陷其剩餘部份。閘極116的層狀物可為 或包括金屬如鎢、鈷、鋁、釕、銅、上述之多層、上述之組合、或類似物。閘極116的層狀物的沉積方法可為原子層沉積、電漿增強化學氣相沉積、分子束沉積、物理氣相沉積、或另一沉積技術。位於第一層間介電層100、接點蝕刻停止層96、與閘極間隔物86的上表面上之用於閘極116的層狀物、一或多個視情況形成的順應層114、與閘極介電層112的部份將移除。舉例來說,平坦化製程如化學機械研磨法可移除第一層間介電層100、接點蝕刻停止層96、與閘極間隔物86的上表面上之用於閘極116的層狀物、一或多個視情況形成的順應層114、與閘極介電層112的部份。因此可形成每一置換閘極結構,其包含閘極116、一或多個視情況形成的順應層114、閘極介電層112、與界面介電層110,如第10A圖所示。
如第11A與11B圖所示,修飾露出的介電表面以形成修飾表面120。如圖所示,露出第一層間介電層100、接點蝕刻停止層96、閘極間隔物86、與閘極介電層112的上表面,其經修飾後可得修飾表面120。在一些例子中,可省略修飾露出的上表面之步驟。
一般而言,半導體製程中的介電表面若未處理以具有不同性質,其末端具有羥基如鍵結至矽的羥基。鍵結至矽的羥基一般為親水性。在一些例子中,這些親水性的表面將修飾為疏水性。
在一些例子中,修飾步驟包含將介電表面暴露至反應物及/或吸附物的化學劑,以將介電表面修飾成疏水性。反應物及/或吸附物的化學劑可與介電表面反應及/或吸附到介 電表面上,以修飾介電表面。在一些例子中,反應物及/或吸附物的化學劑可選擇性地與介電表面反應及/或吸附到介電表面上,且實質上不與金屬化表面反應及/或吸附到金屬化表面上。在一些例子中,金屬化表面不會與反應物及/或吸附劑的化學劑反應,或吸附反應物及/或吸附物的化學劑。在其他例子中,金屬化表面可吸附一些反應物及/或吸附物的化學劑,但其可輕易脫附。反應物及/或吸附劑的化學劑以及介電表面之間的鍵結能量可較小,而反應物及/或吸附物的化學劑以及金屬化表面之間的鍵結能量可較大。如此一來,反應物及/或吸附劑的化學劑可與介電表面反應與鍵結,而不顯著地與金屬化表面反應及/或吸附至金屬化表面。舉例來說,反應物及/或吸附物的化學劑可選擇性地與第一層間介電層100、接點蝕刻停止層96、閘極間隔物86、與閘極介電層112的介電表面反應,且實質上不與一或多個視情況形成的順應層114與閘極116的金屬化表面反應(或實質上不吸附至一或多個視情況形成的順應層114與閘極116的金屬化表面)。
舉例來說,導電的氮化鈦、氮化鉭、碳化鋁鈦、氧化鋁鈦、氮化鋁鈦、及/或類似物的表面等金屬化表面,可作為置換閘極結構中一或多個視情況形成的順應層114。絕緣的氧化鉿的表面不是金屬化表面,可作為閘極介電層112。
反應物及/或吸附物的化學劑包含疏水性官能基,以及易於與介電表面反應的機制,其通常不與金屬化表面反應或者易於自金屬化表面脫附(若可吸附至金屬表面)。舉例來說,疏水性官能基可包含碳氫鏈(如-CXH2X+1),比如-CH3、 -C2H5、或類似物,且易於與介電表面反應的機制可包含矽。反應物及/或吸附劑的化學劑其一些例子,其通式可為R-Si(CH3)3。反應物及/或吸附劑的化學劑其更特定的例子包含四甲基矽烷(Si(CH3)4)、N,N-二甲基三甲基矽基胺((CH3)2-N-Si-(CH3)3)、及/或其他具有一或多個疏水官能基的矽烷衍生物。
介電表面暴露至反應與吸附物的化學劑的方法可採用多種製程。上述製程可為乾製程(如氣體及/或電漿)或濕製程。在濕製程的例子中,具有介電表面形成其上的半導體基板70浸入含有化學反應劑的化學浴中,且化學浴溫度介於約20℃(如室溫)至400℃之間(特別介於約20℃至約80℃之間),且歷時約5秒至約600秒之間。化學浴可為混合物,其含有化學反應劑且更含有去離子水、異丙醇、類似物、或上述之組合。舉例來說,混合物可為R-Si(CH3)3、異丙醇、與去離子水,三者之比例可為(50%至100%):(0%至70%):(0%至70%)。
乾製程的一例可實施化學氣相沉積、原子層沉積、或另一製程。乾製程可實施電漿或不實施電漿。在一例中,化學氣相沉積製程不採用電漿,而反應物及/或吸附物的化學劑為氣態,其可與鈍性承載氣體如氬、氫、或另一承載氣體混合。化學劑與吸附劑的化學劑氣體其流速可介於約10sccm至約1000sccm之間,而鈍性承載氣體其流速可介於約10sccm至約3000sccm之間。反應物及/或吸附物的化學劑氣體其流速與鈍性承載氣體其流速之間的比例,可介於約0.90:0.10至約0.05:0.95之間。化學氣相沉積製程的一例其壓力可介於約 0.1Torr至約40Torr之間。化學氣相沉積製程的一例其溫度可介於約20℃(如室溫)至約400℃之間,特別介於約50℃至約300℃之間。化學氣相沉積製程的一例可歷時約5秒至約300秒之間。
在電漿製程中,電漿可為直接電漿或遠端電漿。在採用直接電漿的例示性化學氣相沉積製程中(如電漿增強化學氣相沉積),反應物及/或吸附物的化學劑可為氣相,並與鈍性的承載氣體(如氬、氫、氦、或另一承載氣體)混合。反應物及/或吸附物的化學劑氣體的流速可介於約10sccm至約1000sccm之間,而鈍性的承載氣體流速可介於約10sccm至約3000sccm之間。反應物及/或吸附物的化學劑氣體的流速,與鈍性承載氣體的流速之間的比例,可介於約0.90:0.10至約0.05:0.95之間。在一例中,採用直接電漿的化學氣相沉積製程其壓力介於約0.1Torr至約40Torr之間。化學氣相沉積製程的直接電漿可為電容耦合電漿。採用直接電漿的化學氣相沉積製程其電漿產生器的操作功率可介於約10W至約1000W之間,且操作頻率可介於約13.56MHz至約40MHz之間。可不施加偏壓至化學氣相沉積製程的基板支架。採用直接電漿的化學氣相沉積製程的一例,其溫度可介於約20℃(如室溫)至約400℃之間,更特別介於約50℃至約300℃之間。採用直接電漿的化學氣相沉積製程的一例,可歷時約5秒至約300秒之間。
在採用遠端電漿的化學氣相沉積製程(如遠端電漿增強化學氣相沉積)的一例中,反應物及/或吸附物的化學劑可為氣相,其可與鈍性承載氣體如氬、氫、氦、或另一承載氣體混合。反應物及/或吸附物的化學劑氣體其流速可介於約 10sccm至約1000sccm之間,而鈍性承載氣體其流速可介於約10sccm至約3000sccm之間。反應物及/或吸附物的化學劑氣體其流速,與鈍性承載氣體其流速之間的比例,可介於約0.90:0.10至約0.05:0.95之間。採用遠端電漿的化學氣相沉積其一例的壓力可介於約0.1Torr至約40Torr之間。化學氣相沉積製程的遠端電漿可為電容耦合電漿。採用遠端電漿的化學氣相沉積製程其電漿產生器的操作功率可介於約10W至約1000W之間,而操作頻率可介於約13.56MHz至約40MHz之間。可不施加偏壓至化學氣相沉積至程的基板支架。採用遠端電漿的化學氣相沉積製程的一例其溫度可介於約20℃(室溫)至約400℃之間,更特別介於約50℃至約300℃之間。採用遠端電漿的化學氣相沉積其一例可歷時約5秒至約300秒之間。由於反應物及/或吸附物的化學劑與介電表面反應(而非與施加至此製程的另一反應物及/或吸附物的化學劑反應),可基於介電表面上可得的反應點位限制修飾表面120的形成。因此修飾表面120可為或包含封端介電表面的疏水性官能基,及/或疏水官能基的單層(比如自對準的單層)。舉例來說,當介電表面為或包含Si-OH時,介電表面的Si-OH可與反應物及/或吸附物的化學劑(此例為R-Si-(CH3)3)反應以形成修飾表面,其為或包含Si-O-Si-(CH3)3。來自Si-OH的氫與來自R-Si-(CH3)3的R官能基為上述反應的副產品,且自表面移除上述副產品的方法可採用乾製程中的清除(purge)氣體及/或濕製程中的沖洗。在此例中,-CH3基團可讓修飾表面120具有疏水性。
此例中的物種Si-(CH3)3與閘極116及一或多個視 情況形成的順應層114的金屬化表面之間的鍵結能量,大於物種Si-(CH3)3與含氧介電表面之間的鍵結能量。因此物種Si-(CH3)3鍵結至介電表面以形成修飾表面120,而不顯著地鍵結至金屬化表面。
在一些例子中,可進行熱處理以移除吸附於金屬化表面上的反應物及/或吸附物的化學劑。舉例來說,熱處理可讓反應物及/或吸附物的化學劑自金屬化表面脫附及/或蒸發。在一些例子中,熱處理包含爐製程、快速熱退火製程、或類似製程。熱處理可在活化氣體如氫、氨、或類似物中進行,其溫度可介於約50℃至約400℃之間,且可歷時約30秒至約1分鐘之間。此外亦可實施其他熱處理。
如第12A與12B圖所示,形成蓋層122(如金屬蓋)於金屬化表面(如一或多個視情況形成的順應層114與閘極116的表面)上。蓋層122的形成方法可採用選擇性沉積製程,其沉積蓋層122於金屬化表面上,而不顯著地沉積於介電表面上。
在一些例子中,選擇性沉積為無氟的鎢沉積,因此蓋層122不含氟。在一些例子中,選擇性沉積製程如無氟的鎢沉積為原子層沉積,其採用氫前驅物與氯化鎢前驅物。氯化鎢可為五氯化鎢、六氯化鎢、另一氯化鎢、或上述之組合。在一些例子中,原子層沉積的循環可包含依序輸送氯化鎢前驅物、清除氯化鎢前驅物、輸送氫前驅物、以及清除氫前驅物。
在一些例子中,原子層沉積製程的循環可包含依序輸送氫前驅物、清除氫前驅物、輸送氯化鎢前驅物、並清除氯化鎢前驅物。原子層沉積製程可包含進行任何次數的上述循 環,比如進行約150次至約320次的循環。輸送氯化鎢的步驟亦可包含輸送承載氣體如氬、氮、氦、或類似物。氯化鎢的流速與承載氣體的流速之間的比例,可介於約0.90:0.10至約0.05:0.95之間。氯化鎢前驅物與承載氣體的混合物其流速可介於約10sccm至約1000sccm之間,比如約500sccm。氯化鎢前驅物的流速可介於約10sccm至約1000sccm之間,且承載氣體的流速可介於約10sccm至約3000sccm之間。氫前驅物的流速可介於約100sccm至約10000sccm之間,比如約7000sccm。原子層沉積製程的壓力可介於約0.1Torr至約40Torr之間,比如約20Torr。原子層沉積製程的溫度可介於約75℃至約500℃之間,比如450℃。
一般據信金屬化表面(如氮化鈦、氮化鉭、碳化鋁鈦、氧化鋁鈦、鎢、或類似物)的高電子移動率,可讓氯化鎢與金屬化表面之間的反應比氯化鎢與介電表面(具有較低電子移動率)之間的反應更容易。在上述的原子層沉積製程中,據信氯化鎢與金屬化表面反應,以形成鍵結於金屬化表面及氯化鎢之間,並形成鍵結於金屬化表面的材料與自氯化鎢前驅物釋出的氯之間。當輸送氫前驅物時,據信氫可與鍵結至金屬化表面的氯及/或鍵結至金屬化表面的氯化鎢的氯反應,以形成氣態的氯化氫副產物。在氫前驅物的輸送與清除中,可清除上述氯化氫副產物。如此一來,可形成鎢於金屬化表面上。形成的鎢層可含殘留的氯,比如小於約1%。
在其他例子中,可實施其他製程以形成蓋層122。舉例來說,可實施選擇性沉積金屬於金屬化表面上的任何製 程,比如化學氣相沉積、原子層沉積、或另一沉積技術。舉例來說,上述製程可選擇性地沉積鈷、鎂、鎢、或另一金屬或金屬化材料。選擇性沉積的一例係以化學氣相沉積製程形成鈷層。化學氣相沉積製程可採用羰基鈷前驅物與鈍性承載氣體的混合物。羰基鈷的流速與承載氣體的流速之間的比例,可介於約0.90:0.10至約0.05:0.95。羰基鈷與承載氣體的混合物流速可介於約10sccm至約1000sccm之間。羰基鈷前驅物的流速可介於約10sccm至約1000sccm之間,而承載氣體的流速可介於約10sccm至約3000scmm之間。化學氣相沉積製程的壓力可介於約0.1Torr至約40Torr之間,比如約20Torr。化學氣相沉積的溫度可介於約20℃至約400℃之間。
在形成修飾表面120的例子中,在沉積蓋層122時存在修飾表面120,而疏水的修飾表面120其靜電力會排斥用於形成蓋層122之沉積製程的前驅物、副產品、或類似物,進而改善沉積製程的選擇性並減少介電層(如第一層間介電層100的低介電常數介電物)的損傷。改善選擇性及降低損傷可減少缺陷,並減少因介電層損傷導致的寄生漏電流。
如第12A圖所示,形成蓋層122於置換閘極結構的金屬化表面上(比如一或多個視情況形成的順應層114如氮化鈦、氮化鉭、碳化鋁鈦、氧化鋁鈦、氮化鋁鈦、或類似物的上表面,以及閘極116如鎢或類似物的上表面),且金屬化表面的形成方法為第10A與10B圖所示的平坦化製程如化學機械研磨。第10A與10B圖的平坦化製程可使第一層間介電層100、接點蝕刻停止層96、與閘極間隔物86的上表面(可修飾為修飾表 面120)、閘極介電層112的上表面(可修飾為修飾表面120)、一或多個視情況形成的順應層114的上表面、以及閘極116的上表面共平面。如此一來,蓋層122可具有個別的上表面,其高於第一層間介電層100、接點蝕刻停止層96、閘極間隔物86、與閘極介電層112的上表面,且這些表面可修飾成修飾表面120。蓋層122的厚度可介於約30Å至約50Å之間。
如第13A與13B圖所示,第二層間介電層130形成於修飾表面120上(若實施修飾步驟,及/或形成於第一層間介電層100、閘極間隔物86、接點蝕刻停止層96、與閘極介電層112上)以及蓋層122上。雖然未圖示,一些例子的蝕刻停止層可沉積於修飾表面120上(若實施修飾步驟,及/或沉積於第一層間介電層100、閘極間隔物86、接點蝕刻停止層96、與閘極介電層112上)以及蓋層122上,而第二層間介電層可沉積於蝕刻停止層上。若形成蝕刻停止層,則蝕刻停止層可為或包括氮化矽、氮碳化矽、碳氧化矽、氮化碳、類似物、或上述之組合,且其沉積方法可為化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、或另一沉積技術。第二層間介電層130可為或包含氧化矽或低介電常數的介電材料如氮氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、有機矽酸鹽玻璃、碳氧化矽、旋轉塗佈玻璃、旋轉塗佈聚合物、碳矽材料、上述之化合物、上述之複合物、類似物、或上述之組合。第二層間介電層130的沉積方法可為旋轉塗佈、化學氣相沉積、可流動的化學氣相沉積、電漿增強化學氣相沉積、物理氣相沉積、或另一沉積技術。
如第14A與14B圖所示,形成個別的開口132穿過第二層間介電層130、第一層間介電層100與其修飾表面120(若實施修飾步驟)、以及接點蝕刻停止層96以達磊晶源極/汲極區92,並露出磊晶源極/汲極區92的至少部份;並形成個別的開口132穿過第二層間介電層130以露出蓋層122的至少部份。舉例來說,可採用光微影與一或多道蝕刻製程,圖案化第二層間介電層130、第一層間介電層100、與接點蝕刻停止層96以形成開口132。
如第15A與15B圖所示,形成個別的導電結構於開口132中,以達磊晶源極/汲極區92與蓋層122。在例示性的例子中,每一導電結構包含黏著層140、黏著層140上的阻障層142、與阻障層142上的導電充填材料146。如圖所示的一些例子中,到達磊晶源極/汲極結構92的每一導電結構,更包含矽化物區144於磊晶源極/汲極區92上。
黏著層140可順應性地沉積於開口132中(比如開口132的側壁上、磊晶源極/汲極區92的露出表面上、與蓋層122的露出表面上),以及第二層間介電層130上。黏著層140可為或包含鈦、鉭、類似物、或上述之組合,且其沉積方法可為原子層沉積、化學氣相沉積、物理氣相沉積、或另一沉積技術。阻障層142可順應性地沉積於黏著層140上,比如沉積於開口132中及第二層間介電層130上。阻障層142可為或包括氮化鈦、氧化鈦、氮化鉭、氧化鉭、類似物、或上述之組合,且其沉積方法可為原子層沉積、化學氣相沉積、或另一沉積技術。在一些例子中,處理黏著層140的至少部份以形成阻障層142。 舉例來說,可在黏著層140上進行氮化製程(如氮電漿製程),以將黏著層140的至少部份轉變為阻障層142。在一些例子中,黏著層140可完全轉變,因此未保留任何黏著層,且阻障層42為黏著與阻障層。在其他例子中,黏著層140的一部份保留未轉變,因此保留黏著層140與黏著層140上的阻障層142。
磊晶源極/汲極區92的上側部份與黏著層140(亦可能與阻障層142)的反應,可形成矽化物區144於磊晶源極/汲極區92上。可進行退火製程以利磊晶源極/汲極區92與黏著層140及/或阻障層142之間的反應。
導電充填材料146可沉積於阻障層142上並填入開口132。導電充填材料146可為或包含鎢、鈷、銅、釕、鋁、金、銀、上述之合金、類似物、或上述之組合,且其沉積方法可為化學氣相沉積、原子層沉積、物理氣相沉積、或另一沉積技術。舉例來說,在沉積導電充填材料146之後,可採用平坦化製程如化學機械研磨移除多餘的導電充填材料146、阻障層142、與黏著層140。平坦化製程可移除第二層間介電層130其上表面上多餘的導電充填材料146、阻障層142、與黏著層140。如此一來,導電結構的上表面與第二層間介電層130的上表面可共平面。導電結構可為或可稱作接點、插塞、或類似物。
雖然第15A與15B圖中同時形成達到磊晶源極/汲極區92的導電結構與達到蓋層122的導電結構,但可分開及依序形成個別的導電結構。舉例來說,可先形成開口132以達磊晶源極/汲極區92(如第14A與14B圖),並填入開口形成導電結構以達磊晶源極/汲極區92(如第15A與15B圖)。接著可形成開 口132以達蓋層122(如第14A與14B圖),並填入開口形成導電結構以達蓋層122(如第15A與15B圖)。此外亦可以另一順序實施製程。
第16A-B至19A-B圖係一些實施例中,半導體裝置於另一形成製程的中間階段中的個別中間結構其剖視圖。在此製程的例子中,製程先進行第2A-B至10A-B圖所示的步驟後,繼續進行第16A-16B圖的步驟。
如第16A與16B圖所示,使置換閘極結構的金屬化構件(如一或多個視情況形成的順應層114與閘極116)凹陷化。凹陷化步驟形成凹陷118,即金屬化構件凹陷處。凹陷化步驟可為任何合適的蝕刻製程及/或清潔製程,其移除置換閘極結構的金屬化構件材料之速率,大於移除第一層間介電層100、接點蝕刻停止層96、閘極間隔物86、與閘極介電層112的速率。移除製程可為乾製程如電漿製程,及/或濕製程。凹陷118的深度可介於約30Å至約50Å之間。
在一些例子中,移除製程為採用氧電漿的電漿製程。電漿製程可為反應性離子蝕刻、誘導耦合電漿、電容耦合電漿、或類似物。其他氣體如氫、氨、或類似物,可取代或搭配電漿製程中的氧。氧氣流速可介於約5sccm至約500sccm之間。電漿製程的溫度可介於約20℃至約400℃之間,比如約200℃。電漿製程的壓力可介於約5mTorr至約10Torr之間,比如約1.1Torr。電漿製程的電漿產生器功率可介於約100W至約40kW之間。可不施加偏壓至電漿製程的基板支架。將中間結構暴露至電漿製程的電漿,可歷時約2秒至約600秒之間。
在一些例子中,移除製程為濕製程。舉例來說,濕製程可採用標準清潔劑1及/或標準清潔劑2。濕製程的溫度可介於約20℃至約80℃之間。濕製程可歷時約5秒至約600秒之間。此外亦可實施其他濕製程,比如採用不同的蝕刻劑。
如第17A與17B圖所示,修飾露出的介電表面以形成修飾表面120。修飾步驟可與第11A與11B圖的前述步驟類似,因此省略進一步敘述以簡化說明。與第11A與11B圖類似,一些例子可省略露出的介電表面其修飾步驟。
凹陷118可在修飾製程中露出閘極介電層112其側壁的上側部份,因此閘極介電層其側壁的上側部份可具有修飾表面120,如圖所示。
如第18A與18B圖所示,形成蓋層122(如金屬蓋)於金屬化表面上,比如置換閘極結構凹陷化以成凹陷118處的一或多個視情況形成的順應層114與閘極116的表面。形成蓋層122的步驟可與第12A與12B圖的前述步驟類似,因此省略進一步的敘述以簡化說明。在此例中,蓋層122的下表面低於修飾表面120及/或第一層間介電層100、接點蝕刻停止層96、閘極間隔物86、與閘極介電層112的上表面。蓋層122的上表面可高於、齊平、或低於修飾表面120及/或第一層間介電層100、接點蝕刻停止層96、閘極間隔物86、與閘極介電層112的上表面。此外,蓋層122的側壁可鄰接閘極介電層112的側壁其上側部份的修飾表面120,及/或鄰接閘極介電層112的側壁。
如第19A與19B圖所示,第二層間介電層130形成於修飾表面120上(若實施修飾步驟,及/或形成於第一層間介電層 100、閘極間隔物86、接點蝕刻停止層96、與閘極介電層112上)以及蓋層122上。第二層間介電層130的形成方法可與第13A與13B圖的前述步驟類似,因此省略進一步敘述以簡化說明。接著可如第14A-B圖至第15A-B圖所示,繼續進行前述製程。
第20圖係一些實施例中,形成半導體裝置的製程其流程圖。在步驟202中,形成置換閘極結構於主動區上及一或多個介電結構中。步驟202的一例可搭配第10A與10B圖說明。舉例來說,置換閘極結構包含閘極介電層112、一或多個視情況形成的順應層114、與閘極116,其形成於鰭狀物74上以及閘極間隔物86、接點蝕刻停止層96、與第一層間介電層100中。
在步驟204中,可視情況使置換閘極結構其金屬化構件凹陷至低於一或多個介電結構的上表面。步驟204的一例可搭配第16A與16B圖說明。舉例來說,一或多個視情況形成的順應層114與閘極116可凹陷至低於閘極間隔物86、接點蝕刻停止層96、第一層間介電層100、與閘極介電層112的上表面。
在步驟206中,視情況修飾露出的介電表面。步驟206的一例可搭配第11A與11B圖及第17A與17B圖說明。舉例來說,若進行步驟204,可修飾閘極間隔物86、接點蝕刻停止層96、第一層間介電層100、與閘極介電層112的上表面,亦可修飾閘極介電層112的側壁其上側部份。
在步驟208中,採用選擇性沉積製程形成蓋層於置換閘極結構的金屬化構件上。步驟208的一例可搭配第12A與12B圖以及第18A與18B圖說明。舉例來說,蓋層122形成於置 換閘極結構其一或多個視情況形成的順應層114與閘極116上。
在步驟210中,形成一或多個介電層於蓋層與修飾表面上,及/或一或多個介電結構上。步驟210的一例可搭配第13A與13B圖以及第19A與19B圖說明。舉例來說,可形成第二層間介電層130於蓋層122上,及/或第一層間介電層100、接點蝕刻停止層96、與閘極間隔物86上。
在步驟212中,形成導電結構穿過一或多個介電層以達蓋層。步驟212的一例可搭配第15A與15B圖說明。舉例來說,包含黏著層、阻障層、與導電充填材料的導電結構,可穿過第二層間介電層130以達蓋層122。
第21至28圖係一些實施例中,半導體裝置於另一製程的中間階段中的個別中間結構其剖視圖。舉例來說,第21至28圖的剖視圖對應第1圖的剖面A-A。
第21圖係第15A圖的剖視圖其部份。導電結構穿過第二層間介電層130、第一層間介電層100、與接點蝕刻停止層96以達磊晶源極/汲極區92。導電結構包含黏著層140、阻障層142、與導電充填材料146。黏著層140、阻障層142、與導電充填材料146的上表面,可與第二層間介電層130的上表面共平面。
如第22圖所示,修飾第二層間介電層130其露出的介電表面,以形成修飾表面150。修飾步驟可與第11A與11B圖的前述步驟類似,因此省略進一步的敘述以簡化說明。如此一來,第二層間介電層130的上表面可修飾為修飾表面150。
如第23圖所示,形成蓋層152於導電結構的金屬化 表面(如導電充填材料146、阻障層142、與黏著層140的表面)上。形成蓋層152的步驟可與第12A與12B圖的前述步驟類似,因此省略進一步敘述以簡化說明。
如第23圖所示,形成蓋層152於導電結構的金屬化表面上,且金屬化表面可由第15A與15B圖所示的平坦化製程如化學機械研磨形成。第15A與15B圖的平坦化製程使第二層間介電層130的上表面(其可修飾為修飾表面150)與導電結構(含有導電充填材料146、阻障層142、與黏著層140)的上表面共平面。因此蓋層152的上表面可高於第二層間介電層130的上表面,其可修飾為修飾表面150。蓋層152的厚度可介於約30Å至約50Å之間。
如第24圖所示,形成介電層154於修飾表面150與蓋層152上,且導電結構156穿過介電層154以接觸蓋層152。介電層154可為或包括蝕刻停止層及/或金屬間介電層。蝕刻停止層可沉積於修飾表面150與蓋層152上。蝕刻停止層可為或包含氮化矽、氮碳化矽、碳氧化矽、氮化碳、類似物、或上述之組合,且其沉積方法可為化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、或另一沉積技術。若形成蝕刻停止層,則金屬間介電層可沉積於蝕刻停止層上,且金屬間介電層可為或包含氧化矽或低介電常數的介電材料如氮氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、有機矽酸鹽玻璃、碳氧化矽、旋轉塗佈玻璃、旋轉塗佈聚合物、碳矽材料、上述之化合物、上述之複合物、類似物、或上述之組合。金屬間介電層的沉積方法可為旋轉塗 佈、化學氣相沉積、可流動的化學氣相沉積、電漿增強化學氣相沉積、物理氣相沉積、或另一沉積技術。
舉例來說,接觸蓋層152的導電結構156可為或包括通孔或另一導電結構。導電結構156的形成方法可採用鑲嵌製程如雙鑲嵌製程。可採用光微影與一或多道蝕刻製程,形成穿過介電層154的開口。舉例來說,可沉積導電結構156的一或多個材料於開口中,以形成導電結構156於開口中。舉例來說,導電結構156可包含順應性地形成於開口中的阻障層,以及形成於阻障層上的導電充填材料。阻障層可為或包括氮化鈦、氧化鈦、氮化鉭、氧化鉭、類似物、或上述之組合,且其沉積方法可為原子層沉積、化學氣相沉積、或另一沉積技術。導電充填材料可沉積於阻障層上並填入開口。導電充填材料可為或包含銅、鎢、鈷、釕、鋁、金、銀、上述之合金、或上述之組合,且其沉積方法可為化學氣相沉積、原子層沉積、物理氣相沉積、電鍍、或另一沉積技術。舉例來說,在沉積導電充填材料之後,可採用平坦化製程如化學機械研磨以移除多餘的導電充填材料與阻障層。
如第25圖所示,形成金屬間介電層170與導電結構於第24圖的中間結構上。金屬間介電層170可為任何一層的金屬間介電層。舉例來說,金屬間介電層170可為直接位於第24圖之中間結構上的金屬間介電層170(比如介電層154的一部份或直接位於介電層154上),或介於介電層154與金屬間介電層170之間的任何一層金屬間介電層。金屬間介電層170可為或包含氧化矽、低介電常數的介電材料如氮氧化矽、磷矽酸鹽玻 璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、有機矽酸鹽玻璃、碳氧化矽、旋轉塗佈玻璃、旋轉塗佈聚合物、碳矽材料、上述之化合物、上述之複合物、類似物、或上述之組合。金屬間介電層170的沉積方法可為旋轉塗佈、化學氣相沉積、可流動的化學氣相沉積、電漿增強化學氣相沉積、物理氣相沉積、或另一沉積技術。
導電結構包含阻障層172與導電充填材料174。導電結構的形成幫法可採用鑲嵌製程,比如雙鑲嵌製程。導電結構可為導電線路、導電墊、或類似物,且可進一步包含通孔以達下方的導電結構。採用光微影與一或多道蝕刻製程,可形成開口及/或凹陷於金屬間介電層170中及/或穿過金屬間介電層170。阻障層172順應性地形成於開口及/或凹陷中,而導電充填結構174形成於阻障層172上。阻障層172可為或包含氮化鈦、氧化鈦、氮化鉭、氧化鉭、類似物、或上述之組合,且其沉積方法可為原子層沉積、化學氣相沉積、或另一沉積技術。導電充填材料174可沉積於阻障層172上,並填入開口及/或凹陷。導電充填材料174可為或包含銅、鎢、鈷、釕、鋁、金、銀、上述之合金、類似物、或上述之組合,且其沉積方法可為化學氣相沉積、原子層沉積、物理氣相沉積、電鍍、或另一沉積技術。舉例來說,在沉積導電充填材料174之後,可採用平坦化製程如化學機械研磨移除多餘的導電充填材料174與阻障層172。
如第26圖所示,對金屬間介電層170其露出的介電表面進行修飾,以形成修飾表面180。此修飾步驟可與第11A 與11B圖所述的步驟類似,在此省略進一步敘述以簡化說明。因此,金屬間介電層170的上表面可修飾為修飾表面180。
如第27圖所示,形成蓋層182於導電結構的金屬化表面上,比如導電充填材料174與阻障層172的表面上。形成蓋層182的方法可如第12A與12B圖所示,因此省略進一步的敘述以簡化說明。
如第27圖所示,蓋層182形成於導電結構的金屬化表面上,且導電結構的金屬化表面其形成方法為第25圖所述的平坦化製程如化學機械研磨。第25圖的平坦化製程使金屬間介電層170的上表面與導電結構(包含導電充填材料174與阻障層172)的上表面共平面。因此蓋層182的上表面可高於金屬間介電層170的上表面,且金屬間介電層170的上表面可修飾成修飾表面180。蓋層182的厚度可介於約30Å至約50Å之間。
如第28圖所示,形成介電層184於修飾表面180及蓋層182上,而導電結構186穿過介電層184以接觸蓋層182。介電層184可為或包含蝕刻停止層及/或金屬間介電層。蝕刻停止層及/或金屬間介電層與導電結構186的形成方法可如第24圖所述,因此省略進一步敘述以簡化說明。
雖然未圖示,但在第22與26圖中修飾個別的介電表面之前,可先使第21與25圖的導電結構凹陷化。凹陷化步驟可與第16A與16B圖的前述步驟類似,因此省略進一步敘述以簡化說明。
凹陷化步驟可讓導電結構的凹陷處,成為第二層間介電層130其側壁的上側部份將修飾(見第22圖的製程)的位 置。當第21圖的導電結構凹陷化時,蓋層152的下表面低於修飾表面150及/或第二層間介電層130的上表面,且蓋層152的上表面可高於、齊平、或低於修飾表面150及/或第二層間介電層130的上表面。此外,蓋層152的側壁可鄰接第二層間介電層130的側壁其上側部份的修飾表面150,及/或可鄰接第二層間介電層130的側壁。同樣地,凹陷化步驟可讓導電結構的凹陷處,成為金屬間介電層170其側壁的上側部份將修飾(見第26圖的製程)的位置。當第25圖的導電結構(含有導電充填材料174)凹陷化時,蓋層182的下表面低於修飾表面180及/或金屬間介電層170的上表面,且蓋層182的上表面可高於、齊平、或低於修飾表面180及/或金屬間介電層170的上表面。此外,蓋層182的側壁可鄰接金屬間介電層170的側壁其上側部份的修飾表面180,及/或可鄰接金屬間介電層170的側壁。
第29圖係一些實施例中,形成半導體裝置的製程其一例的流程圖。在步驟252中,形成第一導電結構於第一介電層中。步驟252的例子如第21與25圖所述。舉例來說,包含黏著層140、阻障層142、與導電充填材料146的導電結構形成於第二層間介電層130、第一層間介電層100、與接點蝕刻停止層96中。在另一例中,包含阻障層172與導電充填材料174的導電結構形成於金屬間介電層170中。
在步驟254中,可視情況使第一導電結構凹陷至低於第一介電層的上表面。步驟254的例子如前所述。舉例來說,可使第21圖的導電結構(包含導電充填材料146)凹陷至低於第二層間介電層130的上表面。在另一例中,可使第25圖的導電 結構(包含導電充填材料174)凹陷至低於金屬間介電層170的上表面。
在步驟256中,可修飾第一介電層的介電表面。步驟256的一例可搭配第22與26圖說明。舉例來說,可修飾第二層間介電層130與金屬間介電層170的上表面。
在步驟258中,蓋層形成於第一導電結構上的方法採用選擇性沉積製程。步驟258的一例可搭配第23與27圖說明。舉例來說,蓋層152與182分別形成於導電結構上,且導電結構包含(i)導電充填材料146、阻障層142、與黏著層140;以及(ii)導電充填材料174與阻障層172。
在步驟260中,形成第二介電層於蓋層及修飾過的介電表面上。步驟260之一例可搭配第24與28圖說明。舉例來說,介電層154與184分別形成於蓋層152與182及修飾表面150與180上。
在步驟262中,形成第二導電結構穿過第二介電層以達蓋層。步驟262之一例可搭配第24與28圖說明。舉例來說,導電結構156與186分別穿過介電層154與184以達蓋層152與182。
一些實施例可達一些優點。舉例來說,修飾介電層的介電表面之表面修飾製程,可產生選擇性的保護層於介電層上。保護層(如修飾的介電表面)可增加後續選擇性沉積製程(比如選擇性沉積蓋層如金屬蓋)的選擇性,並可減少介電層的損傷。舉例來說,保護層(如修飾的介電表面)可終結介電層表面上的懸吊鍵,其可在選擇性沉積蓋層時減少預期之外的金屬 沉積。此外,保護層可減少製程氣體與金屬離子攻擊介電層與擴散至介電層的現象。如此一來,可降低介電層中的缺陷與漏電流。
在一些例子中,形成蓋層於閘極結構如置換閘極結構上,可減少閘極電阻,特別是短通道裝置中的閘極電阻。在一些例子如置換閘極製程中,當閘極結構的尺寸如通道長度方向的尺寸小,則高電阻的導電構件如阻障層、蓋層、及/或功函數調整層可依閘極結構的尺寸按比例增加尺寸,而低電阻的導電構件如閘極可依閘極結構的尺寸按比例減少尺寸。形成於置換閘極結構上的蓋層,可彌補因縮小置換閘極結構尺寸所增加的電阻。此外,此處所示的例示性製程可避免遮罩與圖案化製程,在減少閘極電阻時亦可避免增加成本的製程。一些實施例的優點如閘極結構上的蓋層及/或表面修飾製程,特別可行於小技術節點(如7nm或更小)。
本發明一實施例為半導體結構的形成方法。形成第一導電結構於第一介電層中。第一導電結構具有金屬化表面,而第一介電層具有介電表面。進行表面修飾處理,將介電表面修飾為疏水性。在修飾介電表面之後,進行選擇性沉積製程以形成蓋層於金屬化表面上。形成第二介電層於蓋層及介電表面上。
在一實施例中,上述方法的表面修飾處理包括將介電表面暴露至化學劑,化學劑包含疏水官能基,且修飾介電表面的步驟包括以含有疏水官能基的物種封端介電表面。
在一實施例中,上述方法的疏水官能基的通式為 -CXH2X+1
在一實施例中,上述方法的化學劑為矽烷衍生物。
在一實施例中,上述方法的化學劑為四甲基矽烷(Si(CH3)4)、N,N-二甲基三甲基矽基胺((CH3)2-N-Si-(CH3)3)、或上述之組合。
在一實施例中,上述方法的表面修飾處理為化學氣相沉積製程。
在一實施例中,上述方法更包括形成穿過第二介電層以達蓋層的第二導電結構,其中形成第一導電結構的步驟包括形成閘極結構於基板上的主動區上,且閘極結構的表面為金屬化表面。
在一實施例中,上述方法的選擇性沉積製程為原子層沉積製程,且原子層沉積製程包括一或多道循環,且每一循環包括:輸送氯化鎢前驅物;以及輸送氫前驅物。
在一實施例中,上述方法的閘極結構的表面低於第一介電層的介電表面。
在一實施例中,上述方法形成閘極結構的步驟更包括使閘極結構凹陷化,其中凹陷化步驟使閘極結構的表面低於第一介電層的介電表面。
另一實施例為半導體結構。半導體結構包括第一介電層,位於基板上;導電結構,位於第一介電層中;以及金屬蓋,位於導電結構上。第一介電層具有被含有疏水官能基的物種封端的介電表面。半導體結構亦包括第二層間介電層,位於介電表面與金屬蓋上。
在一實施例中,上述半導體結構中具有金屬蓋位於其上的導電結構的表面,與介電表面齊平。
在一實施例中,上述半導體結構的疏水官能基為碳氫鏈。
在一實施例中,上述半導體結構的疏水官能基的通式為-CXH2X+1
另一實施例為半導體結構的形成方法。形成閘極結構於基板上的主動區上。閘極結構的表面由第一介電層露出。進行選擇性沉積製程,以形成蓋層於閘極結構的表面上。形成第二介電層於蓋層及第一介電層上。形成導電結構穿過第二介電層以達蓋層。
又一實施例為半導體結構,包括閘極結構,位於基板上的主動區上;第一介電層,位於基板上並沿著閘極結構;金屬蓋,位於閘極結構上;第二介電層,位於金屬蓋與第一介電層上;以及導電結構,穿過第二介電層以達金屬蓋。
在一實施例中,上述半導體結構的金屬蓋包括鎢。
在一實施例中,上述半導體結構的金屬蓋的氯濃度小於1%。
在一實施例中,上述半導體結構的金屬蓋的厚度介於30Å至50Å之間。
在一實施例中,上述半導體結構的金屬蓋的下表面與第一介電層的上表面齊平。
在一實施例中,上述半導體結構的閘極結構包括:閘極介電層,具有第一水平部份、第一垂直部份、與第二 垂直部份;功函數調整層,具有第二水平部份、第三垂直部份、與第四垂直部份。功函數調整層的第二水平部份位於閘極介電層的第一水平部份上,且功函數調整層的第三垂直部份與第四垂直部份橫向地位於閘極介電層的第一垂直部份與第二垂直部份之間;以及閘極,橫向地位於功函數調整層的第三垂直部份與第四垂直部份之間,其中金屬蓋位於閘極與功函數調整層的第三垂直部份與第四垂直部份的上表面上。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明之精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
70‧‧‧半導體基板
74‧‧‧鰭狀物
86‧‧‧閘極間隔物
92‧‧‧磊晶源極/汲極區
96‧‧‧接點蝕刻停止層
100‧‧‧第一層間介電層
110‧‧‧介電層
112‧‧‧閘極介電層
114‧‧‧順應層
116‧‧‧閘極
120‧‧‧修飾表面
122‧‧‧蓋層
130‧‧‧第二層間介電層

Claims (14)

  1. 一種半導體結構的形成方法,包括:形成一第一導電結構於一第一介電層中,該第一導電結構具有一金屬化表面,而該第一介電層具有一介電表面,其中形成該第一導電結構的步驟包括形成一閘極結構於一基板上的一主動區上,且該閘極結構的表面為該金屬化表面;進行一表面修飾處理,將該介電表面修飾為疏水性;在修飾該介電表面之後,進行一選擇性沉積製程以形成一蓋層於該金屬化表面上;以及形成一第二介電層於該蓋層及該介電表面上。
  2. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該表面修飾處理包括將該介電表面暴露至一化學劑,該化學劑包含一疏水官能基,且修飾該介電表面的步驟包括以含有該疏水官能基的物種封端該介電表面。
  3. 如申請專利範圍第2項所述之半導體結構的形成方法,其中該疏水官能基的通式為-CXH2X+1
  4. 如申請專利範圍第2或3項所述之半導體結構的形成方法,其中該化學劑為矽烷衍生物。
  5. 如申請專利範圍第1或2項所述之半導體結構的形成方法,其中該表面修飾處理為一化學氣相沉積製程。
  6. 如申請專利範圍第1或2項所述之半導體結構的形成方法,更包括形成穿過該第二介電層以達該蓋層的一第二導電結構。
  7. 如申請專利範圍第6項所述之半導體結構的形成方法,其中 選擇性沉積製程為一原子層沉積製程,且該原子層沉積製程包括一或多個循環,且每一該或該些循環包括:輸送一氯化鎢前驅物;以及輸送一氫前驅物。
  8. 如申請專利範圍第1項所述之半導體結構的形成方法,其中形成該閘極結構的步驟更包括使該閘極結構凹陷化,其中該凹陷化步驟使該閘極結構的表面低於該第一介電層的該介電表面。
  9. 一種半導體結構,包括:一第一介電層,位於一基板上,且該第一介電層具有被含有一疏水官能基的一物種封端的一介電表面;一閘極結構,位於該第一介電層中且包括一閘極介電層和具有一金屬化表面的一閘極電極;一金屬蓋,位於該閘極電極的該金屬化表面上但不位於該閘極介電層上;以及一第二介電層,位於該介電表面與該金屬蓋上。
  10. 如申請專利範圍第9項所述之半導體結構,其中具有金屬蓋位於其上的該閘極電極的表面,與該介電表面齊平。
  11. 一種半導體結構,包括:一閘極結構,位於一基板上的一主動區上且包括一閘極介電層和具有一金屬化表面的一閘極;一第一介電層,位於該基板上並沿著該閘極結構;一金屬蓋,位於該閘極的該金屬化表面上但不位於該閘極介電層上; 一第二介電層,位於該金屬蓋與該第一介電層上;以及一導電結構,穿過該第二介電層以達該金屬蓋。
  12. 如申請專利範圍第11項所述之半導體結構,其中該金屬蓋的氯濃度小於1%。
  13. 如申請專利範圍第11或12項所述之半導體結構,其中該金屬蓋的下表面與該第一介電層的上表面齊平。
  14. 如申請專利範圍第11或12項所述之半導體結構,其中該閘極結構包括:該閘極介電層,具有一第一水平部份、一第一垂直部份、與一第二垂直部份;一功函數調整層,具有一第二水平部份、一第三垂直部份、與一第四垂直部份,該功函數調整層的該第二水平部份位於該閘極介電層的該第一水平部份上,且該功函數調整層的該第三垂直部份與該第四垂直部份橫向地位於該閘極介電層的該第一垂直部份與該第二垂直部份之間;以及該閘極,橫向地位於該功函數調整層的該第三垂直部份與該第四垂直部份之間,其中該金屬蓋位於該閘極與該功函數調整層的該第三垂直部份與該第四垂直部份的上表面上。
TW107141442A 2017-11-28 2018-11-21 半導體結構與其形成方法 TWI694549B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762591598P 2017-11-28 2017-11-28
US62/591,598 2017-11-28
US15/880,389 US10790142B2 (en) 2017-11-28 2018-01-25 Selective capping processes and structures formed thereby
US15/880,389 2018-01-25

Publications (2)

Publication Number Publication Date
TW201937659A TW201937659A (zh) 2019-09-16
TWI694549B true TWI694549B (zh) 2020-05-21

Family

ID=66632587

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107141442A TWI694549B (zh) 2017-11-28 2018-11-21 半導體結構與其形成方法

Country Status (5)

Country Link
US (4) US10790142B2 (zh)
KR (1) KR102165944B1 (zh)
CN (1) CN109841563B (zh)
DE (1) DE102018107997B4 (zh)
TW (1) TWI694549B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490458B2 (en) * 2017-09-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of cutting metal gates and structures formed thereof
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US11362006B2 (en) * 2019-10-29 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020115368A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11521896B2 (en) * 2019-12-20 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of a protective layer to reduce interconnect structure critical dimensions
CN113013142A (zh) 2019-12-20 2021-06-22 台湾积体电路制造股份有限公司 集成芯片
US11532475B2 (en) * 2019-12-24 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition process for forming semiconductor device and system
CN113106420B (zh) * 2020-02-26 2024-05-14 台湾积体电路制造股份有限公司 半导体装置的制造方法
US11495532B2 (en) 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques to inhibit delamination from flowable gap-fill dielectric
US20210384035A1 (en) * 2020-06-04 2021-12-09 Applied Materials, Inc. Fluorine-Free Tungsten ALD And Tungsten Selective CVD For Dielectrics
US11862694B2 (en) 2020-09-23 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11658064B2 (en) 2020-09-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure with dielectric cap layer and etch stop layer stack
KR20220053879A (ko) 2020-10-23 2022-05-02 삼성전자주식회사 반도체 장치
US11563109B2 (en) 2021-02-19 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11742290B2 (en) 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof
US20230027261A1 (en) * 2021-07-22 2023-01-26 Taiwan Semicondutor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140231998A1 (en) * 2013-02-20 2014-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Back End of the Line (BEOL) Interconnect Scheme
US20160276455A1 (en) * 2015-03-18 2016-09-22 Qualcomm Incorporated Conductive cap for metal-gate transistor
TW201740441A (zh) * 2014-04-10 2017-11-16 應用材料股份有限公司 3d結構半導體應用之利用圖案化自組裝單層的選擇性原子層沉積製程

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7193325B2 (en) * 2004-04-30 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
DE102005052000B3 (de) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
US7772128B2 (en) * 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
KR101078309B1 (ko) 2009-03-25 2011-10-31 포항공과대학교 산학협력단 선택적 증착법을 이용한 반도체 소자의 콘택트 형성방법
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
JP5507909B2 (ja) 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
JP2011216597A (ja) * 2010-03-31 2011-10-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び成膜装置
US8232607B2 (en) * 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
CN103137488B (zh) * 2011-12-01 2015-09-30 中国科学院微电子研究所 半导体器件及其制造方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8896030B2 (en) 2012-09-07 2014-11-25 Intel Corporation Integrated circuits with selective gate electrode recess
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
CN103779413B (zh) 2012-10-19 2016-09-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其制造方法
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8932911B2 (en) * 2013-02-27 2015-01-13 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with capping layers between metal contacts and interconnects
US9514986B2 (en) * 2013-08-28 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Device with capped through-substrate via structure
US20150111373A1 (en) * 2013-10-18 2015-04-23 GlobalFoundries, Inc. Reducing gate height variation in rmg process
CN104681488B (zh) * 2013-11-26 2018-05-01 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9548384B2 (en) * 2014-05-20 2017-01-17 Texas Instruments Incorporated Conductive spline for metal gates
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
EP3183752B1 (en) 2014-08-19 2021-07-21 Intel Corporation Transistor gate metal with laterally graduated work function
KR102271239B1 (ko) * 2015-03-23 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
TWI650833B (zh) * 2015-04-01 2019-02-11 聯華電子股份有限公司 具有金屬閘極之半導體元件及其製作方法
TW201639040A (zh) * 2015-04-23 2016-11-01 聯華電子股份有限公司 具有金屬閘極之半導體元件及其製作方法
US9614089B2 (en) * 2015-06-15 2017-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
CN106601684B (zh) * 2015-10-15 2020-02-11 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
CN108431924B (zh) 2015-11-25 2024-03-22 应用材料公司 通过集成工艺流程系统形成低电阻触点的方法
US9437714B1 (en) * 2015-12-09 2016-09-06 International Business Machines Corporation Selective gate contact fill metallization
US9349687B1 (en) * 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US9947657B2 (en) 2016-01-29 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10269569B2 (en) * 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140231998A1 (en) * 2013-02-20 2014-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Back End of the Line (BEOL) Interconnect Scheme
TW201740441A (zh) * 2014-04-10 2017-11-16 應用材料股份有限公司 3d結構半導體應用之利用圖案化自組裝單層的選擇性原子層沉積製程
US20160276455A1 (en) * 2015-03-18 2016-09-22 Qualcomm Incorporated Conductive cap for metal-gate transistor

Also Published As

Publication number Publication date
DE102018107997B4 (de) 2023-10-19
US10790142B2 (en) 2020-09-29
KR102165944B1 (ko) 2020-10-15
US11830742B2 (en) 2023-11-28
CN109841563B (zh) 2022-04-01
DE102018107997A1 (de) 2019-05-29
TW201937659A (zh) 2019-09-16
US20220328309A1 (en) 2022-10-13
US20200402795A1 (en) 2020-12-24
KR20190062132A (ko) 2019-06-05
US10770288B2 (en) 2020-09-08
US11380542B2 (en) 2022-07-05
US20190164751A1 (en) 2019-05-30
CN109841563A (zh) 2019-06-04
US20190164752A1 (en) 2019-05-30

Similar Documents

Publication Publication Date Title
TWI694549B (zh) 半導體結構與其形成方法
US20210272849A1 (en) Wrap-Around Contact on FinFET
TWI682497B (zh) 半導體結構及半導體製程方法
TWI710060B (zh) 半導體裝置與其形成方法
TW201926558A (zh) 半導體裝置的形成方法
CN110600370A (zh) 半导体工艺所用的方法
TW201926556A (zh) 半導體製作方法
TW202011518A (zh) 半導體裝置的形成方法
TW201937609A (zh) 半導體結構
TW202008433A (zh) 半導體裝置的形成方法
TW202018865A (zh) 半導體裝置的形成方法
TW202008471A (zh) 半導體裝置的形成方法
TWI704693B (zh) 半導體結構及半導體製程方法
TW202125828A (zh) 半導體裝置
TW202011487A (zh) 半導體裝置的形成方法
TW202017057A (zh) 鰭狀場效電晶體裝置
TW202002302A (zh) 半導體結構
TW202004913A (zh) 半導體裝置的形成方法
KR20190055695A (ko) 로우-k 게이트 스페이서 및 그 형성
TWI699829B (zh) 形成半導體結構的方法及形成鰭狀場效電晶體結構的方法
TW201919115A (zh) 半導體結構的形成方法
TWI814794B (zh) 形成半導體裝置的方法
CN107689329A (zh) 鳍式场效应晶体管及其制造方法
TW202125591A (zh) 半導體裝置的製作方法
TWI832442B (zh) 形成半導體裝置結構的方法和形成介電層的方法