TW201919115A - 半導體結構的形成方法 - Google Patents

半導體結構的形成方法 Download PDF

Info

Publication number
TW201919115A
TW201919115A TW107137952A TW107137952A TW201919115A TW 201919115 A TW201919115 A TW 201919115A TW 107137952 A TW107137952 A TW 107137952A TW 107137952 A TW107137952 A TW 107137952A TW 201919115 A TW201919115 A TW 201919115A
Authority
TW
Taiwan
Prior art keywords
gate
source
etch stop
layer
stop layer
Prior art date
Application number
TW107137952A
Other languages
English (en)
Inventor
李凱璿
賴柏宇
志安 徐
楊正宇
陳彥廷
世海 楊
楊豐誠
陳燕銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201919115A publication Critical patent/TW201919115A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

提供半導體裝置與其形成方法。上述方法包括形成閘極結構於鰭狀結構上。上述方法亦包括形成多個第一閘極間隔物於閘極結構其兩側的側壁上。上述方法亦包括形成多個源極/汲極結構於鰭狀結構中,且源極/汲極結構與第一閘極間隔物相鄰。上述方法亦包括在源極/汲極結構的上表面與第一閘極間隔物的外側側壁上進行表面處理製程。上述方法亦包括沉積接點蝕刻停止層於源極/汲極結構與第一閘極間隔物上。接點蝕刻停止層的第一部份以第一沉積速率沉積在源極/汲極結構的上表面上。接點蝕刻停止層的第二部份以第二沉積速率沉積在第一閘極間隔物的外側側壁上。

Description

半導體結構的形成方法
本發明實施例關於半導體結構與其形成方法,蹦特別關於原生氧化物的表面處理製程。
半導體積體電路產業已經歷快速成長。積體電路材料與設計的技術進步,使每一代的積體電路比前一代的積體電路更小且電路更複雜。然而這些進展會增加積體電路製程的複雜度。為實現這些進展,積體電路製程亦需類似發展。隨著積體電路進展,功能密度(比如固定晶片面積中的內連線裝置數目)通常隨著幾何尺寸(比如製程形成的最小構件)縮小而增加。
儘管在材料和製作上具有突破性進展,但是縮小平面裝置如金氧半場效電晶體裝置仍具挑戰性。為克服挑戰,電路設計者尋求新穎結構以改善效能,導致三維設計的發展如鰭狀場效電晶體。鰭狀場效電晶體具有自基板向上延伸的薄且垂直鰭狀物(或鰭狀結構)。鰭狀場效電晶體的通道形成於垂直的鰭狀物中。閘極位於鰭狀物上,其可自多個側邊控制通道。鰭狀場效電晶體的優點可包含減少短通道效應、減少漏電流、以及提高電流。
然而結構尺寸持續縮小,因此越來越難進行製程。如此一來,如何形成可信且越來越小的半導體結構(含有鰭狀 場效電晶體)為一大挑戰。
本發明一實施例提供之半導體結構的形成方法,包括:形成閘極結構於鰭狀結構上;形成多個第一閘極間隔物於鰭狀結構上與閘極結構其兩側的側壁上;形成多個源極/汲極結構於鰭狀結構中,且源極/汲極結構與第一閘極間隔物的外側側壁相鄰;在源極/汲極結構的上表面與第一閘極間隔物的外側側壁上進行表面處理製程;以及沉積接點蝕刻停止層於源極/汲極結構與第一閘極間隔物上,其中接點蝕刻停止層的第一部份以第一沉積速率沉積在源極/汲極結構的上表面上,且接點蝕刻停止層的第二部份以第二沉積速率沉積在第一閘極間隔物的外側側壁上。
D1、D2、D3、D4、T、T1、T2、T3、T4‧‧‧厚度
200‧‧‧基板
204‧‧‧鰭狀結構
205、208、217A、217B、320‧‧‧上表面
210‧‧‧下表面
214A、214B‧‧‧硬遮罩層
215A、215B‧‧‧虛置閘極結構
218‧‧‧閘極間隔物層
218A、218B、418A、418B‧‧‧閘極間隔物
219‧‧‧原生氧化物
219-1、219-1A‧‧‧第一原生氧化物部份
219-2、219-2A‧‧‧第二原生氧化物部份
220‧‧‧源極/汲極結構
221‧‧‧接點蝕刻停止層
221-1、221-1A‧‧‧第一部份
221-2、221-2A‧‧‧第二部份
222‧‧‧層間介電層
252A、252B‧‧‧閘極介電層
254A、254B‧‧‧閘極層
256A、256B‧‧‧金屬閘極結構
260‧‧‧接點插塞
262‧‧‧源極/汲極矽化物層
300‧‧‧縱向
315A、315B‧‧‧側壁
318A、318B、319‧‧‧外側側壁
360、362‧‧‧表面處理製程
363‧‧‧雜質
500A、500B‧‧‧鰭狀場效電晶體
600A、600B、600C、600D‧‧‧半導體結構
第1A至1I圖係一些實施例中,用於形成半導體結構的製程其多種階段的剖視圖。
第2圖係一些實施例中,半導體結構的剖視圖。
第3A-3D圖係一些實施例中,用於形成半導體結構的製程其多種階段的剖視圖。
第4圖係一些實施例中,半導體結構的剖視圖。
下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件 上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
下述內容為本發明的一些實施例。在這些實施例所述階段之前、之中、與之後可進行額外步驟。不同實施例可置換或省略下述的一些階段。半導體裝置結構可添加額外結構。不同實施例可置換或省略下述的一些結構。雖然一些實施例的步驟以特定順序進行,但這些步驟可由任何符合邏輯的順序進行。
鰭狀物可由任何合適方法圖案化。舉例來說,鰭狀物的圖案化方法採用一或多道光微影製程,包含雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於單一的直接光微影製程所能得到的最小間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程,沿著圖案化的犧牲層側壁形成間隔物。接著移除犧牲層,再以保留的間隔物圖案化鰭狀物。
提供半導體結構與其形成方法的實施例如下。第1A至1I圖係一些實施例中,用於形成半導體裝置結構600A的製程其多種階段的剖視圖。第2圖係一些實施例中,半導體結構600B的剖視圖。第3A至3D圖係一些實施例中,在進行第1D圖所示的製程階段後,用於形成半導體裝置結構600C的製程其多種階段的剖視圖。第4圖係一些實施例中,半導體結構600D的剖視圖。應注意的是半導體結構的剖視圖沿著半導體結構之鰭狀結構(如鰭狀結構204)的縱向(鰭狀場效電晶體的通道長度方向)。
在一些實施例中,採用閘極置換製程以製作半導體結構600A、600B、600C、與600D,比如鰭狀場效電晶體(如鰭狀場效電晶體500A與500B)。
如第1A圖所示,接收含有鰭狀結構204的基板200。在一些實施例中,基板200包含半導體基板如基體半導體、絕緣層上半導體基板、或類似物,其可摻雜(摻雜p型或n型摻質)或未摻雜。基板200可為晶圓如矽晶圓。一般而言,絕緣層上半導體基板包含半導體材料層形成於絕緣層上。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。絕緣層位於基板(通常為矽基板或玻璃基板)上。此外亦可採用其他基板如多層基板或組成漸變基板。在一些實施例中,基板200的半導體材料可包含半導體元素如矽或鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。
在一些實施例中,鰭狀結構204的形成方法為在基板200上進行圖案化製程。藉由圖案化製程,可形成溝槽(未圖示)於基板200中,且溝槽圍繞鰭狀結構204。隔離區(未圖示,比如淺溝槽隔離結構)可形成於溝槽的下表面210上。隔離結構圍繞鰭狀結構204的下側部份,而鰭狀結構204的上側部份自每一隔離結構的上表面208凸起。
如第1B圖所示的一些實施例,在形成隔離區之後,形成虛置閘極結構215A與虛置閘極結構215B於鰭狀結構204的上表面205上。硬遮罩層214A形成於虛置閘極結構215A上,而硬遮罩層214B形成於虛置閘極結構215B上。此外,虛置閘極結構215A與215B形成於隔離結構上。
在一些實施例中,虛置閘極結構215A與215B覆蓋鰭狀結構204上的鰭狀場效電晶體(如鰭狀場效電晶體500A與500B)之各自的通道區。虛置閘極結構215A與215B可沿著垂直於鰭狀結構204之縱向300的方向延伸,並沿著鰭狀結構之縱向300設置。在一些實施例中,虛置閘極結構215A與215B覆蓋鰭狀結構204的上表面205與側壁,並延伸於鰭狀結構204之外的隔離區與基板200上。
在一些實施例中,每一虛置閘極結構215A與215B包含閘極介電層(未圖示)以及形成於閘極介電層上的閘極(未圖示)。在一些實施例中,閘極介電層為氧化矽。在一些實施例中,氧化矽為熱成長的氧化物。在一些實施例中,閘極介電層為高介電常數的介電材料。高介電常數的介電材料其介電常數大於氧化矽的介電常數。高介電常數的介電材料之例子包含 氧化鉿、氧化鋯、氧化鋁、氮氧化矽、氧化鉿-氧化鋁合金、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、另一合適之高介電常數的介電材料、或上述之組合。在一些實施例中,閘極包含多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物、金屬、或其他合適的層狀物。在一些實施例中,閘極之組成為多晶矽。
在一些實施例中,每一硬遮罩層214A與214B包含單層結構或多層結構。在一些實施例中,硬遮罩層214A與214B之組成為氧化矽、氮化矽、氮氧化矽、碳化矽、另一合適材料、或上述之組合。
在一些實施例中,虛置閘極結構215A與215B以及硬遮罩層214A與214B的形成方法,包括沉積製程與後續的圖案化製程。沉積製程可依序沉積閘極介電材料層(未圖示)、閘極材料層(未圖示)、與硬遮罩材料(未圖示)。接著進行圖案化製程以部份地移除閘極介電材料層、閘極材料層、與硬遮罩材料。如此一來,可形成虛置閘極結構215A與其上方的硬遮罩層214A,以及虛置閘極結構215B與其上方的硬遮罩層214B於鰭狀結構204上。在一些實施例中,沉積製程包含化學氣相沉積製程、物理氣相沉積製程、原子層沉積製程、熱氧化製程、或另一可行製程。在一些實施例中,圖案化製程包含光微影製程與後續蝕刻製程。在一些實施例中,蝕刻製程為乾蝕刻製程。
如第1C圖所示的一些實施例,在形成虛置閘極結構215A與215B以及硬遮罩層214A與214B之後,形成閘極間隔物層218於所有的鰭狀結構204、虛置閘極結構215A與215B、 以及硬遮罩層214A與214B上。此外,順應性地形成閘極間隔物層218於虛置閘極結構215A與215B上。在一些實施例中,閘極間隔物層218包含單層結構或多層結構。閘極間隔物層218之組成可為低介電常數(比如介電常數小於5)的材料,例如氧化矽、氮化矽、氮氧化矽、碳化矽、氮碳化矽、另一合適材料、或上述之組合。閘極間隔物層218的沉積方法可採用化學氣相沉積製程、物理氣相沉積製程、旋轉塗佈製程、另一可行製程、或上述之組合。
如第1D圖所示的一些實施例,之後形成閘極間隔物218A與218B於鰭狀結構204上,以及虛置閘極結構215A與215B其兩側的側壁315A與315B上。在一些實施例中,閘極間隔物218A與218B的形成方法為蝕刻製程。進行蝕刻製程以移除閘極間隔物層218,直到露出鰭狀結構204的上表面205。閘極間隔物218A與218B的材料組成可為低介電常數(比如介電常數小於5)的材料,例如氧化矽、氮化矽、氮氧化矽、碳化矽、氮碳化矽、另一合適材料、或上述之組合。在一些實施例中,蝕刻製程包含乾蝕刻製程。
如第1E圖所示的一些實施例中,在形成閘極間隔物218A與218B之後,形成源極/汲極結構220於虛置閘極結構215A、虛置閘極結構215B、閘極間隔物218A、與閘極間隔物218B未覆蓋的鰭狀結構204的部份中。源極/汲極結構220可形成於鰭狀結構204中,並與閘極間隔物218A的外側側壁318A以及閘極間隔物218B的外側側壁318B相鄰。在一些實施例中,源極/汲極結構220靠近虛置閘極結構215A與215B。源極/汲極結 構220分別形成於虛置閘極結構215A與215B其兩側的側壁上。
在一些實施例中,源極/汲極結構220可包含應力材料,以施加應力至通道區。舉例來說,源極/汲極結構220之組成可為鍺、矽鍺、砷化銦、砷化銦鎵、銻化銦、砷化鎵、銻化鎵、磷化銦鋁、磷化銦、或類似物。在一些實施例中,源極/汲極結構220的晶格常數不同於鰭狀結構204的晶格常數。在一些實施例中,源極/汲極結構220具有鑽石形狀。
源極/汲極結構220的形成方法可為蝕刻製程與後續充填製程。蝕刻製程可形成凹陷(未圖示)於第一區中的鰭狀結構204中,且凹陷與閘極間隔物218A與218B相鄰。在一些實施例中,蝕刻製程為乾蝕刻製程。在一些實施例中,充填製程(未圖示)係將一或多種應力半導體材料填入凹陷中,以形成源極/汲極結構220。在一些實施例中,充填製程包含磊晶製程如選擇性磊晶成長製程、化學氣相沉積製程技術(如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、或另一合適的磊晶製程。
如第1E圖所示的一些實施例,在形成源極/汲極結構220之後,原生氧化物219可形成於源極/汲極結構220、閘極間隔物218A、與閘極間隔物218B上。由於源極/汲極結構220、閘極間隔物218A、與閘極間隔物218B暴露至外界大氣,因此形成原生氧化物219。在一些實施例中,原生氧化物219包含相連的第一原生氧化物部份219-1與第二原生氧化物部份219-2。第一原生氧化物部份219-1可直接形成於源極/汲極結構220的 上表面320上。第二原生氧化物部份219-2可直接形成於閘極間隔物218A的外側側壁318A與閘極間隔物218B的外側側壁318B上。在一些其他實施例中,原生氧化物(未圖示)可形成於硬遮罩層214A的上表面217A與硬遮罩層214B的上表面217B上。
如第1F圖所示的一些實施例,在源極/汲極結構220的上表面320上、閘極間隔物218A的外側側壁318A上、以及閘極間隔物218B的外側側壁318B上進行表面處理製程360。在一些實施例中,表面處理製程360包含電漿預清潔製程,以清潔(移除)原生氧化物219。表面處理製程360(如電漿預清潔製程)可為非等向清潔(移除)製程,因此在進行表面處理製程後可部份地移除原生氧化物219。更特別的是,在第一原生氧化物部份219-1上進行的表面處理製程360,不會影響第二原生氧化物部份219-2。在一些實施例中,進行表面處理製程360,直到露出源極/汲極結構220的上表面320。在進行表面處理製程360之後,可移除源極/汲極結構220其上表面320上的第一原生氧化物部份219-1,並保留閘極間隔物218A的外側側壁318A與閘極間隔物218B的外側側壁318B上的第二原生氧化物部份219-2。如此一來,在進行表面處理製程360之後,原生氧化物219其第一原生氧化物部份219-1的厚度可接近0,而其第二原生氧化物部份219-2具有厚度T2。第二原生氧化物部份219-2的厚度,可作為第二原生氧化物部份219-2其外側側壁319,以及被對應第二原生氧化物部份219-2覆蓋的閘極間隔物218A與218B其外側側壁318A與318B之間的距離。此外,第一原生氧化物部份219-1的厚度,小於第二原生氧化物部份219-2的厚度T2。應注意的 是,在進行表面處理製程360之後,原生氧化物219其第二原生氧化物部份219-2的厚度T2(見第1F圖),可等於或小於表面處理製程360之前的原生氧化物219的厚度T(見第1E圖)。
在一些其他實施例中,進行表面處理製程360以部份地移除第一原生氧化物部份219-1。在進行表面處理製程360之後,第一原生氧化物部份219-1的厚度小於第二原生氧化物部份219-2的厚度T2。
在一些實施例中,電漿預清潔製程可作為表面處理製程360,其可採用製程氣體如氬、氮、氫/氦、氫、氨、或上述之組合。電漿預清潔製程採用的製程氣體,在射頻/直流電漿中的流速介於約10sccm至約1000sccm之間。電漿預清潔製程可採用的前驅物包括八氟環丁烷或四氟化碳。電漿預清潔製程的轟擊能量介於約10eV至約1000eV之間。電漿預清潔製程的壓力介於約100Pa至約1000Pa之間,且歷時約30秒至約300秒之間。
如第1G圖所示的一些實施例,在進行表面處理製程360之後,以薄膜沉積製程順應性地沉積接點蝕刻停止層221於源極/汲極結構220以及閘極間隔物218A與218B上。接觸蝕刻停止層221可作為後續蝕刻製程的蝕刻停止層,且蝕刻製程設置以形成源極/汲極接點孔(未圖示)。在一些實施例中,接點蝕刻停止層221包含相連的第一部份221-1與第二部份221-2。接點蝕刻停止層221的第一部份221-1可覆蓋源極/汲極結構220的上表面320。此外,接點蝕刻停止層221的第二部份221-2可覆蓋原生氧化物219的第二原生氧化物部份219-2其外側側壁319。 接點蝕刻停止層221其第一部份221-1以第一沉積速率沉積於源極/汲極結構220的上表面320上,而接點蝕刻停止層221其第二部份221-2以第二沉積速率沉積於閘極間隔物218A與218B之外側側壁318A與318B上。接點蝕刻停止層221其第一部份221-1可由第一沉積速率沉積於源極/汲極結構220的上表面320上,而接點蝕刻停止層221其第二部份221-2可由第二沉積速率沉積於閘極間隔物218A與218B之外側側壁318A與318B上。應注意的是,在進行表面處理製程360時可保留帶正電荷的一些離子於源極/汲極結構220的上表面320上,且帶電離子有助於增加接點蝕刻停止層221的沉積速率。如此一來,第一沉積速率可高於第二沉積速率。
沉積的接點蝕刻停止層221其第一部份221-1的厚度D1與第二部份221-2的厚度D2,可介於約1nm至約10nm之間。一些實施例在進行表面處理製程360之後,沉積的接點蝕刻停止層221其第一部份221-1的厚度D1,不同於沉積的接點蝕刻停止層221其第二部份221-2的厚度D2。舉例來說,在電漿預清潔製程之後,接點蝕刻停止層221其第一部份221-1的厚度D1大於接點蝕刻停止層221其第二部份221-2的厚度D2。接點蝕刻停止層221其第一部份221-1的厚度D1,與其第二部份221-2的厚度D2之間的差距大於或等於2nm。舉例來說,接點蝕刻停止層221其第一部份221-1的厚度D1為約5nm,而接點蝕刻停止層221其第二部份221-2的厚度D2為約3nm。
在一些實施例中,接點蝕刻停止層221可為單層或多層。接點蝕刻停止層221之組成可為碳化矽、氮化矽、氮碳 化矽、碳氧化矽、氮碳氧化矽、四乙氧基矽烷、或另一可行材料。在一些實施例中,接點蝕刻停止層221具有雙層結構,其包含四乙氧基矽烷層形成於碳化矽層上。四乙氧基矽烷層比碳化矽層具有更高的防濕性。此外,碳化矽層作為黏著層,可改善下方的層狀物與四乙氧基矽烷層之間的黏著性。在一些實施例中,接點蝕刻停止層221的形成方法為電漿增強化學氣相沉積製程、低壓化學氣相沉積製程、原子層沉積製程、或另一可行製程。
如第1H圖所示的一些實施例,在形成接點蝕刻停止層221之後,形成層間介電層222於鰭狀結構204、虛置閘極結構215A與215B、閘極間隔物218A與218B、以及源極/汲極結構220上。層間介電層222可形成於接點蝕刻停止層221上,並可填入虛置閘極結構215A與215B之間的間隙。在一些實施例中,層間介電層222圍繞虛置閘極結構215A與215B。
在一些實施例中,進行沉積製程以形成層間介電層222於接點蝕刻停止層221上。之後進行平坦化製程,使接點蝕刻停止層221、層間介電層222、閘極間隔物218A與218B、以及虛置閘極結構215A與215B的上表面齊平,如第1H圖所示。
在一些實施例中,層間介電層222之組成為氧化矽、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、摻雜碳的矽酸鹽玻璃、氮化矽、或氮氧化矽。在一些實施例中,層間介電層222之組成為極低介電常數的介電材料,其介電常數低於約2.5。當幾何尺寸縮小,比如技術節點進展到30nm或更小時,極低介電常 數的介電材料可使裝置的電阻電容延遲最小化。在一些實施例中,極低介電常數的介電材料包含摻雜碳的氧化矽、非晶的氟化碳、聚對二甲苯、雙苯并環丁烯、聚四氟乙烯、或碳氧化矽聚合物。在一些實施例中,極低介電常數的介電材料包含孔洞狀的現有介電材料,比如孔洞狀的氫倍半矽氧烷、孔洞狀的甲基倍半矽氧烷、孔洞狀的聚芳醚、孔洞狀的SiLK、或孔洞狀的氧化矽。在一些實施例中,極低介電常數的介電材料其沉積方法為電漿增強化學氣相沉積製程或旋轉塗佈製程。
在一些實施例中,層間介電層222的沉積製程包含電漿增強化學氣相沉積製程、低壓化學氣相沉積製程、原子層沉積製程、旋轉塗佈製程、或另一可行製程。在一些實施例中,平坦化製程包含化學機械研磨製程、研磨製程、蝕刻製程、另一可行製程、或上述之組合。
如第1I圖所示的一些實施例,在形成層間介電層222之後,進行移除製程與後續的沉積製程,可形成金屬閘極結構256A與256B以取代虛置閘極結構215A與215B。在一些實施例中,閘極間隔物218A圍繞的金屬閘極結構256A,包含閘極介電層252A以及閘極介電層252A上的閘極層254A。與此類似,閘極間隔物218B圍繞的金屬閘極結構256B,包含閘極介電層252B以及閘極介電層252B上的閘極層254B。
在一些實施例中,閘極介電層252A與252B包含單層或多層。在一些實施例中,閘極介電層252A與252B為U形或矩形。在一些實施例中,閘極介電層252A與252B之組成為氧化矽、氮化矽、或高介電常數(介電常數大於7.0)的介電材料(如 鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛、或上述之組合的氧化物或矽酸鹽)。閘極介電層252A與252B的形成方法可包含分子束沉積、原子層沉積、電漿增強化學氣相沉積、或類似方法。
在一些實施例中,電極層254A與254B的組成為含金屬材料,比如氮化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、上述之組合、或上述之多層,且其形成方法為沉積製程如化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積、有機金屬化學氣相沉積、或電漿增強化學氣相沉積。
在一些實施例中,功函數層(未圖示)可形成於金屬閘極結構256A與256B中。功函數層可包含n型功函數金屬或p型功函數金屬。p型功函數層可包含氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽、鉬矽、鉭矽、鎳矽、其他合適的p型功函數材料、或上述之組合。N型功函數層可包含鈦、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、氮碳化鉭、氮化鉭矽、錳、鋯、其他合適的n型功函數材料、或上述之組合。
如第1I圖所示的一些實施例,在形成金屬閘極結構256A與256B之後,在源極/汲極結構220上形成源極/汲極矽化物層262,並形成源極/汲極矽化物層262上的接點插塞260。源極/汲極矽化物層262的形成方法可為蝕刻製程、沉積製程、與熱退火製程。在一些實施例中,進行蝕刻製程以形成開口(未圖示),其露出源極/汲極結構220。進行沉積製程以形成金屬,其可與半導體材料(如矽或鍺)反應以形成矽化物區或鍺化物區於開口中。進行熱退火製程,使沉積的金屬與源極/汲極結構220反應形成源極/汲極矽化物層262。在熱退火製程後,移除 未反應的金屬。
之後可經由沉積製程與後續的平坦化製程如化學機械研磨,以形成接點插塞260填入露出源極/汲極結構220的開口(未圖示)。在一些實施例中,接點插塞260之組成為導電材料如銅、鋁、鎢、鈦、氮化鈦、鉭、氮化鉭、或另一可行材料,且其形成方法可為任何合適的沉積方法如物理氣相沉積、化學氣相沉積、原子層沉積、或鍍製法(如電鍍)。
在進行上述製程後,形成鰭狀場效電晶體500A與鰭狀場效電晶體500B於鰭狀結構204上。此外,如第1I圖所示的一些實施例,形成包含鰭狀場效電晶體500A與鰭狀場效電晶體500B的半導體結構600A。
在一些實施例中,用以形成半導體結構600A的方法在形成接點蝕刻停止層221之前,採用電漿預清潔製程作為表面處理製程360,以移除源極/汲極結構220的上表面320上的原生氧化物(如第一原生氧化物部份219-1)。在進行電漿預清潔製程之後,正電荷將保留於源極/汲極結構220的上表面320上。如此一來,在源極/汲極結構220上的接點蝕刻停止層221其第一部份221-1之沉積速率,高於閘極間隔物218A與218B之外側側壁318A與318B上的接點蝕刻停止層221其第二部份221-2之沉積速率。在維持源極/汲極結構220上的接點蝕刻停止層221其厚度(比如第一部份221-1的厚度D1)時,可減少閘極間隔物218A與218B上的接點蝕刻停止層221其厚度(比如第二部份221-2的厚度D2)。閘極間隔物218A與218B的外側側壁319上的接點蝕刻停止層221之厚度減少,有助於降低接點插塞260與相 鄰的鰭狀場效電晶體500A與500B之金屬閘極結構之間的寄生電容。源極/汲極結構220上的接點蝕刻停止層221之厚度可維持在足夠數值,以在接點孔蝕刻製程中保護源極/汲極結構220。
第2圖係一些實施例中,半導體結構600B的剖視圖。半導體結構600B的材料、設置、結構、及/或形成製程,可與半導體結構600A的材料、設置、結構、及/或形成製程類似或相同,在此不重述細節。半導體結構600A與半導體結構600B之間的差異之一,係在形成源極/汲極結構220之前,半導體結構600B包含閘極間隔物418A與418B形成於鰭狀結構204與閘極間隔物218A與218B上。表面處理製程360有助於減少閘極間隔物218A與218B其外側側壁319上的接點蝕刻停止層221其厚度。如此一來,可增大鰭狀場效電晶體500A的金屬閘極結構256A與鰭狀場效電晶體500B的金屬閘極結構256B之間的空間,以用於形成額外的閘極間隔物418A與418B。閘極間隔物418A與418B以及閘極間隔物218A與218B之組成可為低介電常數(介電常數小於5)的介電材料,並合併形成複合的閘極間隔物結構。閘極間隔物418A與418B有助於增加低介電常數的複合閘極間隔物結構之總厚度。如此一來,可消除相鄰的鰭狀場效電晶體之間的漏電流問題。此外,閘極間隔物418A與418B可改善半導體結構600B中所用的環形振盪器其交流電增益。
第3A至3D圖係一些實施例中,在進行第1E圖所示的階段後用以形成半導體結構600C的製程其多種階段的剖視圖。半導體結構600C的材料、設置、結構、及/或形成製程, 可與半導體結構600A的材料、設置、結構、及/或形成製程類似或相同,在此不重述細節。
在形成源極/汲極結構220之後,原生氧化物219可形成於源極/汲極結構220、閘極間隔物218A、與閘極間隔物218B上(見第1E圖)。如第3A圖所示的一些實施例,之後在源極/汲極結構220的上表面320上、閘極間隔物218A的外側側壁318A上、以及閘極間隔物218B的外側側壁318B上進行表面處理製程362。在一些實施例中,表面處理製程362包含等向蝕刻製程,以等向地清潔(移除)原生氧化物219。如此一來,可均勻地移除原生氧化物219的不同部份,以形成第一原生氧化物部份219-1A於源極/汲極結構220上,並形成第二原生氧化物部份219-2A於閘極間隔物218A與218B的外側側壁318A與318B上。在進行表面處理製程362(如等向蝕刻製程)之後,原生氧化物219其第一原生氧化物部份219-1A的厚度T3,可與其第二原生氧化物部份219-2A的厚度T4相同。在一些其他實施例中,第一原生氧化物部份219-1A的厚度T3大於第二原生氧化物部份219-2A的厚度T4。應注意的是,在進行電漿預清潔製程362之後,第一原生氧化物部份219-1A的厚度T3與第二原生氧化物部份219-2A的厚度T4,可能小於表面處理製程362之前的原生氧化物219的厚度T(見第1E圖)。
在一些實施例中,採用稀氫氟酸進行表面處理製程362。此外,在進行表面處理製程362之後,包含碳及/或氟的雜質363可保留於第一原生氧化物部份的側壁上。
如第3B圖所示的一些實施例,在進行表面處理製 程362之後,以薄膜沉積製程順應性地沉積接點蝕刻停止層221於源極/汲極結構220以及閘極間隔物218A與218B上。在一些實施例中,接點蝕刻停止層221包含相連的第一部份221-1A與第二部份221-2A。接點蝕刻停止層221其第一部份221-1A以第一沉積速率沉積於源極/汲極結構220的上表面320上,而接點蝕刻停止層221其第二部份221-2A以第二沉積速率沉積於閘極間隔物218A與218B之外側側壁318A與318B上。第一沉積速率可不同於第二沉積速率。應注意的是,閘極間隔物218A與218B的外側側壁318A與318B上的雜質363(如碳原子及/或氟原子),可化學鍵結至閘極間隔物218A與218B的氮原子。雜質363與閘極間隔物218A與218B之間的化學鍵結,對接點蝕刻停止層221的沉積製程之成核與成長速率動力學可能有負面影響。如此一來,第二沉積速率可低於第一沉積速率。
在一些實施例中,接點蝕刻停止層221其第一部份221-1A的厚度D3,與其第二部份221-2A的厚度D4可介於約1nm至約10nm之間。在進行表面處理製程360之後,沉積的接點蝕刻停止層221其第一部份221-1A的厚度D3,可與沉積的接點蝕刻停止層221其第二部份221-2A的厚度D4不同。舉例來說,在進行表面處理製程362之後,接點蝕刻停止層221其第一部份221-1A的厚度D3,可大於其第二部份221-2A的厚度D4。接點蝕刻停止層221其第一部份221-1A的厚度D3,與其第二部份221-2A的厚度D4之間的差距大於或等於2nm。舉例來說,接點蝕刻停止層221其第一部份221-1A的厚度D3為約5nm,而接點蝕刻停止層221其第二部份221-2A的厚度D4為約3nm。
如第3C圖所示的一些實施例,在形成接點蝕刻停止層221之後,形成層間介電層222於接點蝕刻停止層221上。第3C圖所示的層間介電層222的材料、設置、結構、及/或形成製程,可與第1H圖所示的層間介電層222的材料、設置、結構、及/或形成製程類似或相同,在此不重述細節。
在形成層間介電層222之後,形成金屬閘極結構256A與256B以取代虛置閘極結構215A與215B。如第3D圖所示的一些實施例,之後形成源極/汲極矽化物層262與接點插塞260。第3D圖所示的金屬閘極結構256A與256B、源極/汲極矽化物層262、與接點插塞260的材料、設置、結構、及/或形成製程,可與第1I圖所示的金屬閘極結構256A與256B、源極/汲極矽化物層262、與接點插塞260的材料、設置、結構、及/或形成製程類似或相同,在此不重述細節。
如第3D圖所示的一些實施例,在進行前述製程後,可形成包含鰭狀場效電晶體500A與鰭狀場效電晶體500B的半導體結構600C。
在一些實施例中,半導體結構600C的形成方法採用等向蝕刻製程作為表面處理製程362,可在形成接點蝕刻停止層221之前均勻地移除原生氧化物219。在進行等向蝕刻製程之後,保留於閘極間隔物218A與218B上的雜質363(比如碳及/或氟原子)可化學鍵結至閘極間隔物218A與218B的氮原子。如此一來,在閘極間隔物218A與218B之外側側壁318A與318B上的接點蝕刻停止層221其第二部份221-2A之沉積速率,低於源極/汲極結構220上的接點蝕刻停止層221其第一部份221-1A之 沉積速率。
在維持源極/汲極結構220上的接點蝕刻停止層221其厚度(比如第一部份221-1A的厚度D3)時,可減少閘極間隔物218A與218B上的接點蝕刻停止層221其厚度(比如第二部份221-2A的厚度D4)。閘極間隔物218A與218B的外側側壁319上的接點蝕刻停止層221之厚度減少,有助於降低接點插塞260與相鄰的鰭狀場效電晶體500A與500B之金屬閘極結構256A與256B之間的寄生電容。源極/汲極結構220上的接點蝕刻停止層221之厚度可維持在足夠數值,以在接點孔蝕刻製程中保護源極/汲極結構220。
第4圖係一些實施例中,半導體結構600D的剖視圖。半導體結構600D的材料、設置、結構、及/或形成製程,可與半導體結構600C的材料、設置、結構、及/或形成製程類似或相同,在此不重述細節。半導體結構600C與半導體結構600D之間的差異之一,包含在形成源極/汲極結構220之前,形成閘極間隔物418A與418B於鰭狀結構204以及閘極間隔物218A與218B上。半導體結構600D的優點可與半導體結構600C的優點類似,在此不重述細節。
提供半導體結構(如半導體結構600A、600B、600C、與600D)與其形成方法的實施例。用以形成半導體結構的方法包含形成閘極結構(如虛置閘極結構215A與215B以及金屬閘極結構256A與256B)於鰭狀結構204上。方法亦包含形成第一閘極間隔物(如閘極間隔物218A與218B)於鰭狀結構上以及閘極結構其兩側的側壁(如側壁315A與315B)上。方法亦包括形成源極 /汲極結構220於鰭狀結構中,且源極/汲極結構與第一閘極間隔物的外側側壁(如外側側壁318A與318B)相鄰。方法亦包含在源極/汲極結構220的上表面320上以及第一閘極間隔物的外側側壁上進行表面處理製程(如表面處理製程360與362)。方法亦包含沉積接點蝕刻停止層221於源極/汲極結構與第一閘極間隔物上。接點蝕刻停止層其第一部份(如第一部份221-1與221-1A),以第一沉積速率沉積於源極/汲極結構的上表面上。接點蝕刻停止層其第二部份(如第二部份221-2與221-2A),以第二沉積速率沉積於第一閘極間隔物的外側側壁上。在維持源極/汲極結構上的接點蝕刻停止層厚度時,可減少閘極間隔物上的接點蝕刻停止層厚度。減少閘極間隔物的外側側壁上的接點蝕刻停止層厚度,有助於減少接點插塞與相鄰的鰭狀場效電晶體之金屬閘極結構之間的寄生電容。源極/汲極結構上的接點蝕刻停止層厚度足以在接點孔蝕刻製程中,保護源極/汲極結構。
提供半導體結構與其形成方法的實施例。方法包含形成閘極結構於鰭狀結構上。方法亦包含形成第一閘極間隔物於閘極結構其兩側的側壁上。方法亦包括形成源極/汲極結構於鰭狀結構中,且源極/汲極結構與第一閘極間隔物相鄰。方法亦包含在源極/汲極結構的上表面上以及第一閘極間隔物的外側側壁上進行表面處理製程。方法亦包含沉積接點蝕刻停止層於源極/汲極結構與第一閘極間隔物上。接點蝕刻停止層其第一部份,以第一沉積速率沉積於源極/汲極結構的上表面上。接點蝕刻停止層其第二部份,以第二沉積速率沉積於第一閘極間隔物的外側側壁上。在維持源極/汲極結構上的接點蝕 刻停止層厚度時,可減少閘極間隔物上的接點蝕刻停止層厚度。接點插塞與相鄰的鰭狀場效電晶體之金屬閘極結構之間的寄生電容可減少。源極/汲極結構上的接點蝕刻停止層厚度足以在接點孔蝕刻製程中,保護源極/汲極結構。
在一些實施例中,提供半導體結構的形成方法。上述方法包括形成閘極結構於鰭狀結構上。上述方法亦包括形成多個第一閘極間隔物於鰭狀結構上與閘極結構其兩側的側壁上。上述方法亦包括形成多個源極/汲極結構於鰭狀結構中,且源極/汲極結構與第一閘極間隔物的外側側壁相鄰。上述方法亦包括在源極/汲極結構的上表面與第一閘極間隔物的外側側壁上進行表面處理製程。上述方法亦包括沉積接點蝕刻停止層於源極/汲極結構與第一閘極間隔物上。接點蝕刻停止層的第一部份以第一沉積速率沉積在源極/汲極結構的上表面上。接點蝕刻停止層的第二部份以第二沉積速率沉積在第一閘極間隔物的外側側壁上。
在一些實施例中,上述方法在進行表面處理製程之後,接點蝕刻停止層其第一部份的第一厚度不同於其第二部份的第二厚度。
在一些實施例中,上述方法進行表面處理製程以移除原生氧化物,且原生氧化物包括:直接形成於源極/汲極結構的上表面上的第一原生氧化物部份;以及直接形成於第一閘極間隔物的外側側壁上的第二原生氧化物部份。
在一些實施例中,上述方法的表面處理製程為電漿預清潔製程,且第一沉積速率大於第二沉積速率。
在一些實施例中,上述方法在進行表面處理製程之後,第一原生氧化物部份的厚度小於第二原生氧化物部份的厚度。
在一些實施例中,上述方法的電漿預清潔製程採用的製程氣體包含氬、氮、氫與氦、氫、氨、或上述之組合,其中電漿預清潔製程的製程氣體在射頻/直流電漿中的流速介於約10sccm至約1000sccm之間,其中電漿預清潔製程的前驅物包括八氟環丁烷或四氟化碳,其中電漿預清潔製程的轟擊能量介於約10eV至約1000eV之間,其中電漿預清潔製程的壓力介於約100Pa至約1000Pa之間,且歷時約30秒至約300秒之間。
在一些實施例中,上述方法的表面處理製程為等向蝕刻製程,且第二沉積速率小於第一沉積速率。
在一些實施例中,上述方法的等向蝕刻製程採用稀氫氟酸。
在一些實施例中,上述方法在進行表面處理製程後,保留雜質於第一原生氧化物部份的側壁上,且雜質包含碳及/或氟。
在一些實施例中,上述方法更包括形成層間介電層結構於鰭狀結構、閘極結構、第一閘極間隔物、與源極/汲極結構上;形成金屬閘極結構以置換閘極結構;以及形成接點插塞於源極/汲極結構上。
在一些實施例中,上述方法更包括在形成源極/汲極結構之前,形成第二閘極間隔物於鰭狀結構與第一閘極間隔物上。
在一些實施例中,提供半導體結構的形成方法。上述方法包括形成第一閘極結構於鰭狀結構上。上述方法亦包括形成第一閘極間隔物於鰭狀結構上與第一閘極結構其兩側的側壁上。上述方法亦包括形成源極/汲極結構於鰭狀結構中,且源極/汲極結構與第一閘極間隔物的外側側壁相鄰。上述方法亦包括在源極/汲極結構的上表面與第一閘極間隔物的外側側壁上進行表面處理製程。上述方法亦包括形成接點蝕刻停止層於源極/汲極結構與第一閘極間隔物上。接點蝕刻停止層包括:第一部份,覆蓋源極/汲極結構的上表面;以及第二部份,覆蓋第一閘極間隔物的外側側壁。接點蝕刻停止層其第一部份的第一厚度不同於接點蝕刻停止層其第二部份的第二厚度。
在一些實施例中,上述方法的接點蝕刻停止層其第一部份以第一沉積速率沉積,而接點蝕刻停止層其第二部份以第二沉積速率沉積,且第一沉積速率不同於第二沉積速率。
在一些實施例中,上述方法進行表面處理製程以移除原生氧化物,且原生氧化物包括:直接形成於源極/汲極結構的上表面上的第一原生氧化物部份;以及直接形成於第一閘極間隔物的外側側壁上的第二原生氧化物部份。
在一些實施例中,上述方法之表面處理製程為電漿預清潔製程,且進行表面處理製程直到露出源極/汲極結構的上表面。上述方法在進行表面處理製程之後,保留第一閘極結構的外側側壁上的第二原生氧化物部份。
在一些實施例中,上述方法的等向蝕刻製程採用稀氫氟酸,且在進行表面處理製程後保留雜質於第一原生氧化 物部份的側壁上,且雜質包含碳及/或氟。
在一些實施例中,上述方法更包括在形成源極/汲極結構之前,形成第二閘極間隔物於鰭狀結構與第一閘極間隔物上;形成層間介電層結構於鰭狀結構、閘極結構、第一閘極間隔物、與源極/汲極結構上;移除閘極結構以形成溝槽;形成金屬閘極結構於溝槽中;以及形成接點插塞於源極/汲極結構上。
在一些實施例中,提供半導體結構。半導體結構包括鰭狀結構上的閘極結構、第一閘極間隔物、源極/汲極結構、與接點蝕刻停止層。第一閘極間隔物位於鰭狀結構上與閘極結構的側壁上。源極/汲極結構位於鰭狀結構中並與第一閘極間隔物的外側側壁相鄰。接點蝕刻停止層位於源極/汲極結構與第一閘極間隔物上。接點蝕刻停止層其第一部份覆蓋源極/汲極結構的上表面,且其第二部份覆蓋第一閘極間隔物的外側側壁。接點蝕刻停止層其第一部份的第一厚度,大於接點蝕刻停止層其第二部份的第二厚度。
在一些實施例中,上述半導體結構更包括第二閘極間隔物於鰭狀結構與第一閘極間隔物上。
在一些實施例中,上述半導體結構更包括:原生氧化物,位於第一閘極間隔物與接點蝕刻停止層之間,其中原生氧化物包括:第一原生氧化物部份,直接形成於源極/汲極結構的上表面上;以及第二原生氧化物部份,直接形成於第一閘極間隔物的外側側壁上,其中第一原生氧化物部份的厚度不同於第二原生氧化物部份的厚度。
本發明已以數個實施例揭露如上,以利本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者可採用本發明為基礎,設計或調整其他製程與結構,用以實施實施例的相同目的,及/或達到實施例的相同優點。本技術領域中具有通常知識者應理解上述等效置換並未偏離本發明之精神與範疇,並可在未偏離本發明之精神與範疇下進行這些不同的改變、置換、與調整。

Claims (1)

  1. 一種半導體結構的形成方法,包括:形成一閘極結構於一鰭狀結構上;形成多個第一閘極間隔物於該鰭狀結構上與該閘極結構其兩側的側壁上;形成多個源極/汲極結構於該鰭狀結構中,且該些源極/汲極結構與該些第一閘極間隔物的外側側壁相鄰;在該些源極/汲極結構的上表面與該些第一閘極間隔物的外側側壁上進行一表面處理製程;以及沉積一接點蝕刻停止層於該些源極/汲極結構與該些第一閘極間隔物上;其中該接點蝕刻停止層的第一部份以一第一沉積速率沉積在該些源極/汲極結構的上表面上,且該接點蝕刻停止層的第二部份以一第二沉積速率沉積在該些第一閘極間隔物的外側側壁上。
TW107137952A 2017-11-14 2018-10-26 半導體結構的形成方法 TW201919115A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762585720P 2017-11-14 2017-11-14
US62/585,720 2017-11-14
US15/875,485 US10283624B1 (en) 2017-11-14 2018-01-19 Semiconductor structure and method for forming the same
US15/875,485 2018-01-19

Publications (1)

Publication Number Publication Date
TW201919115A true TW201919115A (zh) 2019-05-16

Family

ID=66334044

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107137952A TW201919115A (zh) 2017-11-14 2018-10-26 半導體結構的形成方法

Country Status (3)

Country Link
US (1) US10283624B1 (zh)
CN (1) CN109786252A (zh)
TW (1) TW201919115A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10937876B2 (en) * 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
US11824099B2 (en) * 2020-06-15 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drains in semiconductor devices and methods of forming thereof

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7615426B2 (en) * 2005-02-22 2009-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. PMOS transistor with discontinuous CESL and method of fabrication
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR102148336B1 (ko) * 2013-11-26 2020-08-27 삼성전자주식회사 표면 처리 방법, 반도체 제조 방법 및 이에 의해 제조된 반도체 장치
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR102235578B1 (ko) * 2014-11-19 2021-04-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR20170122930A (ko) * 2016-04-28 2017-11-07 삼성전자주식회사 반도체 장치

Also Published As

Publication number Publication date
US10283624B1 (en) 2019-05-07
CN109786252A (zh) 2019-05-21
US20190148519A1 (en) 2019-05-16

Similar Documents

Publication Publication Date Title
TWI578498B (zh) 半導體裝置及其製造方法及積體電路裝置
TWI458096B (zh) 半導體裝置及其製造方法
TWI828806B (zh) 半導體裝置與其形成方法
US9099494B2 (en) Contact structure of semiconductor device
US8828823B2 (en) FinFET device and method of manufacturing same
TWI524464B (zh) FinFET元件與其形成方法
TWI614894B (zh) 半導體裝置及其製造方法
TWI719615B (zh) 半導體裝置的形成方法
TWI565074B (zh) 半導體結構與其製備方法
US20140084340A1 (en) Contact Structure Of Semiconductor Device
TW201735154A (zh) 半導體裝置及其製造方法
TW201916256A (zh) 半導體裝置的形成方法
TWI786608B (zh) 半導體裝置及其製造方法
TWI649796B (zh) 半導體元件及其製造方法
US10164067B2 (en) Method of fabricating a semiconductor device
TW202017104A (zh) 半導體裝置的形成方法
TW202127663A (zh) 半導體裝置
TW202011518A (zh) 半導體裝置的形成方法
TW202118058A (zh) 半導體裝置
TW202207368A (zh) 形成半導體裝置的方法
TW202011487A (zh) 半導體裝置的形成方法
TW202234526A (zh) 半導體裝置及其形成方法
TWI728481B (zh) 半導體結構及其形成方法
TW202220210A (zh) 半導體裝置
TW201919115A (zh) 半導體結構的形成方法