TWI719615B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI719615B
TWI719615B TW108131475A TW108131475A TWI719615B TW I719615 B TWI719615 B TW I719615B TW 108131475 A TW108131475 A TW 108131475A TW 108131475 A TW108131475 A TW 108131475A TW I719615 B TWI719615 B TW I719615B
Authority
TW
Taiwan
Prior art keywords
dielectric
fin
source
drain
gate
Prior art date
Application number
TW108131475A
Other languages
English (en)
Other versions
TW202025310A (zh
Inventor
吳以雯
李振銘
楊復凱
王美勻
張長昀
傅勁逢
鵬 王
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202025310A publication Critical patent/TW202025310A/zh
Application granted granted Critical
Publication of TWI719615B publication Critical patent/TWI719615B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

方法包括提供結構,其具有第一鰭狀物與第二鰭狀物位於基板上且縱向通常沿著第一方向;以及源極/汲極結構位於第一鰭狀物與第二鰭狀物上。形成第一介電層,覆蓋源極/汲極結構;至少對源極/汲極結構之間的區域進行第一蝕刻製程,以形成溝槽於層間介電層中;進行第二蝕刻製程,以選擇性地使介電材料凹陷;以及進行第三蝕刻製程,以選擇性地使層間介電層凹陷,以形成露出源極/汲極結構的接點孔。

Description

半導體裝置的形成方法
本發明實施例關於半導體裝置,更特別關於源極/汲極接點。
半導體積體電路產業已經歷指數成長。積體電路材料與設計中的技術進展,使每一代的積體電路均比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(如採用的製作製程所能產生的最小構件或線路)縮小而增加。此尺寸縮小通常有利於增加產能並降低相關成本。尺寸縮小亦會增加形成與處理積體電路的複雜度。
一些積體電路設計隨著技術節點縮小的進展之一,係將一般的多晶矽閘極置換成金屬閘極,使尺寸縮小的結構之裝置效能改善。形成金屬閘極的製程之一稱作置換閘極或閘極後製製程,其最後製作金屬閘極,可減少形成閘極之後必需進行的後續製程(如高溫製程)的數目。舉例來說,金屬閘極製作製程可包含沉積金屬閘極結構,接著進行後續的金屬閘極切割製程。然而實施此積體電路製作製程時面臨挑戰,特別是將介電材料填入金屬閘極部件之間以用於隔離時,介電材料可能延伸至源極/汲極區之間的層間介電層中。在形成源極/汲極接點時,介電材料的存在會減少源極/汲極的著陸面積並增加源極/汲極接點電阻,其亦劣化裝置積體度。本發明實施例的主題為解決此類問題。
本發明一實施例提供之半導體裝置的形成方法,包括:提供結構,其具有:基板;鰭狀物,位於基板上且縱向通常沿著第一方向;源極/汲極結構,位於鰭狀物上;第一介電層,覆蓋源極/汲極結構的上表面與側壁;隔離結構,埋置於第一介電層中,其中隔離結構的上表面高於源極/汲極結構;以及第二介電層,覆蓋第一介電層與隔離結構;進行第一蝕刻製程,使第二介電層凹陷以露出隔離結構;進行第二蝕刻製程,以選擇性地使隔離結構凹陷;以及進行第三蝕刻製程,使第一介電層凹陷以露出源極/汲極結構。
本發明一實施例提供之半導體裝置的形成方法,包括:形成第一鰭狀物與第二鰭狀物於基板上,且第一鰭狀物與第二鰭狀物具有閘極區與源極/汲極區;形成閘極結構於閘極區中的第一鰭狀物與第二鰭狀物上;沉積介電層於第一鰭狀物與第二鰭狀物之間,且介電層覆蓋閘極結構的側壁;進行蝕刻製程,以形成溝槽分開閘極結構,溝槽延伸至第一鰭狀物與第二鰭狀物之間的介電層的區域中;將介電材料填入溝槽;選擇性蝕刻介電材料;選擇性蝕刻介電層;以及沉積導電材料於源極/汲極區中的第一鰭狀物與第二鰭狀物上,且導電材料直接接觸介電材料。
本發明一實施例提供之半導體裝置,包括:基板;鰭狀物,凸出基板;磊晶的源極/汲極結構,位於鰭狀物上;介電結構,與磊晶的源極/汲極結構相鄰,其中介電結構低於磊晶的源極/汲極結構面向上方的側壁;以及導電結構,直接接觸磊晶的源極/汲極結構與介電結構。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間(即結構未接觸另一結構)。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5nm」包含的尺寸範圍介於4.5nm至5.5nm之間。
本發明實施例一般關於半導體裝置與其製作方法,更特別關於以切割金屬閘極製程製作鰭狀場效電晶體的半導體裝置,其採用隔離材料以隔離閘極部件,接著以選擇性蝕刻製程使偏離閘極部件(比如源極/汲極結構之間的層間介電層中)的區域中所保留的隔離材料凹陷,其有利於加大源極/汲極接點的著陸區域並降低源極/汲極接點電阻。
切割金屬閘極製程指的是在金屬閘極(如高介電常數的介電層與金屬閘極)置換虛置閘極結構(如多晶矽閘極)之後,切割金屬閘極(比如以蝕刻製程)以將金屬閘極分割成兩個或更多閘極部件的製程。每一閘極部件可作為個別電晶體所用的金屬閘極。接著可將隔離材料填入金屬閘極的相鄰部份之間的溝槽。在本發明實施例中,溝槽可稱作切割金屬閘極溝槽。為確保完全切割金屬閘極,切割金屬閘極溝槽通常進一步延伸至相鄰區域中,比如覆蓋閘極結構的側壁之層間介電層。如此一來,填入切割金屬閘極溝槽的隔離材料之後保留於層間介電層中。隔離材料與金屬閘極通常具有相同高度,其可高於相鄰的源極/汲極結構。產生源極/汲極接點孔於層間介電層中的蝕刻製程,其對隔離材料的蝕刻選擇性可能不足,因此隔離材料自源極/汲極接點孔凸起。凸起的隔離材料會遮住相鄰的源極/汲極結構並減少源極/汲極接點的的著陸面積,使形成於源極/汲極接點孔中的源極/汲極接點無法有效著陸在源極/汲極結構上。
本發明實施例的製程流程包括至少一切割金屬閘極製程,以及選擇性蝕刻製程以使源極/汲極接點孔中的隔離材料凹陷。切割金屬閘極製程將金屬閘極分成多個閘極部件。選擇性蝕刻製程使隔離材料凹陷至低於源極/汲極結構一段高度。藉由此製程流程,源極/汲極接點孔中可較佳地露出源極/汲極結構的上表面與側壁(如面向上方的側壁),其可加大源極/汲極接點的著陸區域、降低源極/汲極接點電阻、並增加形成源極/汲極接點的製程容許範圍。
圖1A係半導體的裝置 (或半導體的結構)100之上視圖。圖1B係裝置100沿著圖1A的剖線B-B的剖視圖,而圖1D係裝置100沿著圖1A的剖線C-C的剖視圖。
如圖1A與1B所示,裝置100包括基板102、自基板102凸起的多個鰭狀物(如鰭狀物104a、104b、104c、與104d,一起稱作鰭狀物104)、位於基板102之上與鰭狀物104之間的隔離結構106、以及位於鰭狀物104與隔離結構106上的多個閘極結構(如閘極結構112a與112b,一起稱作閘極結構112)。
鰭狀物104的縱向沿著X方向,並沿著Y方向彼此相隔一段距離,且X方向垂直於Y方向。每一鰭狀物104可設計以用於形成n型鰭狀場效電晶體或p型鰭狀場效電晶體。閘極結構112的縱向沿著Y方向,並沿著X方向彼此相隔一段距離。閘極結構112在鰭狀物104a、104b、104c、與104d的通道區接合鰭狀物,以形成鰭狀場效電晶體。
裝置100更包括源極/汲極結構162。源極/汲極結構162為磊晶成長的半導體結構。在磊晶成長製程時,源極/汲極結構162可形成多個側壁,比如所述實施例中的側壁163a、163b、與163c。側壁的名稱取決於側壁的法線方向。若法線方向朝上,則側壁稱作面向上方的側壁(如側壁163a)。若法線方向朝下,則側壁稱作面向下方的側壁(如側壁163b)。若法線方向水平,則側壁稱作垂直的側壁(如側壁163c)。源極/汲極結構162位於個別的源極/汲極區中的每一鰭狀物104上。鰭狀物104a與104b沿著Y方向,具有邊緣對邊緣的空間P1。在一實施例中,空間P1為約20nm至約30nm,其小於習知鰭狀物配置,因此鰭狀物104a與104b的個別源極/汲極結構162合併。
裝置100亦包含一或多個介電層,比如位於隔離結構106上與源極/汲極結構162的部份側壁上的接點蝕刻停止層164,位於隔離結構106上的第一層間介電層166、以及位於第一層間介電層166上的第二層間介電層180。裝置100更包含一或多個導電材料184形成於穿過第一層間介電層166與第二層間介電層180的接點孔中,以接合源極/汲極結構162。
如圖1A與1B所示,裝置100更包含沿著X方向縱向配置的多個介電結構,其包括介電結構114a與114b ( 一起稱作介電結構114)。在所述實施例中,介電結構114a位於鰭狀物104b與104c之間,並與閘極結構112a及112b交錯。介電結構114b位於鰭狀物104c與104d之間,並與閘極結構112a交錯(而未與閘極結構112b交錯)。每一介電結構114填入切割金屬閘極溝槽,因此隔離閘極結構112成至少兩個部份(又稱作閘極部件)。如此一來,介電結構114亦可稱作隔離結構。在所述實施例中,介電結構114a與114b一起將閘極結構112a分成三個閘極部件,且介電結構114a更將閘極結構112b分成兩個閘極部件。
如圖1A與1D所示,每一閘極結構112包括高介電常數的介電層108,以及高介電常數的介電層108之上的導電層110。導電層110包括一或多層的金屬材料。因此每一閘極結構112亦稱作高介電常數的介電層與金屬閘極的閘極結構112。閘極結構112可進一步包含界面層(未圖示)於高介電常數的介電層108之下。在多種實施例中,每一介電結構114a與114b至少自閘極結構112的一邊緣沿著Y方向擴大至閘極結構112的相鄰邊緣,並自閘極結構112的上表面沿著Z方向擴大至隔離結構106的頂部中。在所述實施例中,介電結構114a與114b將閘極結構112a分成左側部份、中間部份、與右側部份。左側部份與兩個鰭狀物140a與104b接合以形成一電晶體,中間部份與鰭狀物104c接合以形成另一電晶體,而右側部份與鰭狀物104d接合以形成又一電晶體。
如圖1B所示,介電結構114a與114b亦延伸至偏離閘極結構112的區域。在所述實施例中,介電結構114a位於鰭狀物104b與104c的源極/汲極結構162之間,而介電結構114b位於鰭狀物104c與104d的源極/汲極結構162之間。與圖1D中介電結構114的底部延伸至隔離結構106中,圖1B中的介電結構114的底部埋置於第一層間介電層166中。這是因為在形成切割金屬閘極溝槽時的蝕刻劑,蝕刻金屬閘極結構112與第一層間介電層166,其對這些材料具有不同的蝕刻速率,因此切割金屬閘極溝槽的不同位置具有不同蝕刻速率,其可造成不同的蝕刻深度。換言之,一些實施例的介電結構114沿著X方向的下表面可具有階狀輪廓,其階狀高度為約2nm至約10nm。在一些實施例中,介電結構114的下表面比隔離結構106的上表面高出一段間隙Δ,且間隙Δ為源極/汲極區中的介電結構114a之高度h0的約5%至約20%,如圖1B所示。在一些實施例中,介電結構114的底部亦延伸至隔離結構106中,如圖1C所示。介電結構114的頂部,自第一層間介電層166凸起至導電材料184的下表面中。位於介電結構114的兩側側壁上的第一層間介電層166可具有相同或不同的高度。在所述實施例中,位於介電結構114的兩側側壁上的第一層間介電層166的高度不一致。在所述實施例中,位於介電結構114a的左側側壁上的第一層間介電層166,低於位於介電結構114a的右側側壁上的第一層間介電層166,兩者的高度差異h1為源極/汲極區中的介電結構114a的高度h0之約10%至約60%,比如約1nm至約5nm。這主要因為源極/汲極接點孔中的介電結構114a之左側上的較寬開口之蝕刻負載效應,大於介電結構114a之右側上的蝕刻負載效應,因此介電結構114a的左側上的第一層間介電層166的凹陷程度大於介電結構114a的右側上的第一層間介電層166的凹陷程度。
與圖1D中插置於閘極部件之間的介電結構114的上表面與閘極結構112的上表面實質上共平面相較,圖1B中的介電結構114凹陷於導電材料184下方。如圖1B所示的一些實施例,可在Z方向中使介電結構114凹陷至少50nm。在所述實施例中,每一凹陷的介電結構114低於相鄰的源極/汲極結構162面向上方的側壁163a。藉由使介電結構114凹陷,不再遮住面向上方的側壁163a,其可提供更大的著陸面積以用於導電材料184,使導電材料184足以接觸面向上方的側壁163a。在所述實施例中,亦露出面向下方的側壁163b的頂部,其可自源極/汲極結構162的側部提供多餘的接觸區域。
介電結構114中可能存在高度差異。在所述實施例中,介電結構114b高於介電結構114a,兩者的高度差異H可為約10nm至約40nm。如圖1A所示,區域190顯示源極/汲極接點孔形成處,以及之後填入源極/汲極接點結構處。介電結構114a延伸穿過整個源極/汲極接點孔,其重疊區域如虛線框192所示。介電結構114b稍微延伸至源極/汲極接點孔中,其小很多的重疊區域如虛線框194所示。因此經由接點孔施加蝕刻劑以選擇性蝕刻介電結構114a與114b時,介電結構114a的開口區域較大(見虛線框192),可比介電結構114b (見虛線框194)接收更多蝕刻劑。此外,較大的開口面積也造成蝕刻副產物較易消散。綜上所述,介電結構114a的凹陷速度比介電結構114b的凹陷速度快。
在一些實施例中,每一介電結構114可低於個別相鄰的源極/汲極結構162面向上方的側壁163a的最底部,但高於個別的面向下方的側壁163b的最底部。在一些其他實施例中,每一介電結構114可低於個別的相鄰源極/汲極結構162面向下方的側壁163b的最底部。在一些其他實施例中,介電結構114a可低於個別的相鄰源極/汲極結構162面向下方的側壁163b的最底部,而介電結構114b可高於面向下方的側壁163b的最底部,但低於面向上方的側壁163a的最底部。
裝置100的組成將詳述於下。在此實施例中,基板102為矽。在其他實施例中,基板102可包含另一半導體元素如鍺、半導體化合物(包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、或銻化銦)、半導體合金(包含矽鍺、磷砷化鎵、磷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、或磷砷化鎵銦)、或上述之組合。
鰭狀物104可包含一或多種半導體材料如矽、鍺、碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、矽鍺、磷砷化鎵、磷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、或磷砷化鎵銦。在一實施例中,鰭狀物104可為兩種不同半導體材料的交替堆疊層,比如交替堆疊的矽層與矽鍺層。鰭狀物104可額外包含摻質以改良裝置100的效能。舉例來說,鰭狀物104可包含n型摻質如磷或砷,或p型摻質如硼或銦。
隔離結構106可包含氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電材料、及/或其他合適絕緣材料。隔離結構106可為淺溝槽隔離結構。亦可採用其他隔離結構如場氧化物、局部氧化矽、及/或其他合適結構。隔離結構106可包含多層結構,比如具有與鰭狀物104相鄰的一或多個熱氧化物襯墊層。
高介電常數的介電層108可包含一或多種高介電常數的介電材料(或一或多層的高介電常數的介電材料),比如氧化鉿矽、氧化鉿、氧化鋁、氧化鋯、氧化鑭、氧化鈦、氧化釔、鈦酸鍶、或上述之組合。
導電層110包括一或多個金屬層,比如功函數金屬層、導電阻障層、與金屬填充層。功函數金屬層可為p型或n型的功函數層,端視裝置的種類(如p型場效電晶體或n型場效電晶體)而定。p型功函數層包括的金屬係擇自半不限於氮化鈦、氮化鉭、釕、鉬、鎢、鉑、或上述之組合。n型功函數層包括的金屬係擇自但不限於鈦、鋁、碳化鉭、碳氮化鉭、氮化鉭矽、氮化鈦矽、或上述之組合。金屬填充層可包含鋁、鎢、鈷、及/或其他合適材料。
介電結構114可包含一或多種介電材料,比如氮化矽、氧化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電材料、及/或其他合適的絕緣材料,且其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適方法。
接點蝕刻停止層164可包含氮化矽、氮氧化矽、含氧原子或碳原子的氮化矽、及/或其他材料,且其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適方法。第一層間介電層166可包含四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料。第一層間介電層166的形成方法可為電漿輔助化學氣相沉積、可流動的化學氣相沉積、或其他合適方法。第二層間介電層180為另一層間介電層,且可包含四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料。第一層間介電層166與第二層間介電層180可包含不同的材料組成。第二層間介電層180的形成方法可為電漿輔助化學氣相沉積、可流動的化學氣相沉積、或其他合適方法。
在一些實施例中,導電材料184包含阻障層186如氮化鉭或氮化鈦,與金屬填充層188如鋁、銅、或鎢。阻障層186可順應性地覆蓋第一層間介電層166、矽化物結構165、介電結構114a與114b、與第二層間介電層180的側壁。阻障層186的沉積製程可採用化學氣相沉積、物理氣相沉積、電漿輔助化學氣相沉積、原子層沉積、或其他合適方法。金屬填充層188的沉積製程可採用化學氣相沉積、物理氣相沉積、電鍍、或其他合適方法。
圖2A、2B、與2C係一實施例中,形成半導體的裝置100之方法200的流程圖。方法200僅為舉例而非侷限本發明實施例至申請專利範圍未實際記載處。在方法200之前、之中、與之後可進行額外步驟,且方法的其他實施例可取代、省略、或調換一些所述步驟。方法200將搭配圖3至17說明如下,其顯示多種剖視圖,比如半導體的裝置100在方法200的製作步驟時沿著剖線A-A、剖線D-D、與剖線E-E的剖視圖。為簡化說明,採用半導體的裝置100沿著剖線D-D或剖線E-E的剖視圖以顯示較少鰭狀物,­而非沿著剖線B-B或剖線C-C。
方法200的步驟202 (圖2A)提供或接收裝置100,其具有基板102、凸出基板102的鰭狀物104 (包括鰭狀物104a、104b、與104c)、與基板102之上及鰭狀物104之間的隔離結構106,如圖3所示。具體而言。圖3係裝置100沿著圖1A的剖線E-E的剖視圖。基板102、鰭狀物104、與隔離結構106所用的多種材料,已搭配圖1A至1D說明如上。
在一實施例中,基板102可為晶圓如矽晶圓。鰭狀物104的形成方法可為磊晶成長一或多個半導體層於基板102的所有區域上,接著圖案化半導體層以形成個別的鰭狀物104。可採用任何合適方法圖案化鰭狀物104。舉例來說,可採用一或多種光微影製程以圖案化鰭狀物104,比如雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於採用單一直接的光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程以沿著圖案化犧牲層的側部形成間隔物。接著移除犧牲層,再採用保留的間隔物(或芯)作為遮罩並蝕刻初始的磊晶半導體層以圖案化鰭狀物104。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。舉例來說,乾蝕刻製程可採用含氧氣體、含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。舉例來說,濕蝕刻製程可包含在稀釋氫氟酸、氫氧化鉀溶液、氨水、含氫氟酸、硝酸、及/或醋酸的溶液、或其他合適的濕蝕刻劑中進行蝕刻。
隔離結構106的形成方法可為一或多種沉積與蝕刻方法。沉積方法可包含熱氧化、化學氧化、或化學氣相沉積如可流動的化學氣相沉積。蝕刻方法可包含乾蝕刻、濕蝕刻、或化學機械研磨。
方法200的步驟204 (圖2A)形成閘極結構112以接合鰭狀物104。在一實施例中,步驟204包括沉積閘極結構的多種層狀物如高介電常數的介電層108與導電層110,並圖案化多種層狀物以形成閘極結構112,如圖1A與1C所示。在特定實施例中,步驟204採用置換閘極製程,其先形成暫時(或虛置)閘極結構,接著將暫時閘極結構置換成閘極結構112。置換閘極製程的一實施例如圖2B所示,其包含步驟204a、204b、與204c,如下所述。
方法200的步驟204a (圖2B)形成暫時閘極結構149以接合鰭狀物104,如圖4A與4B所示。圖4A與4B分別為裝置100沿著圖1A的剖線A-A與E-E的剖視圖。如圖4A與4B所示,每一臨時閘極結構149包含界面層150、閘極152、與兩個硬遮罩層154與156。步驟204a亦形成閘極間隔物160於臨時閘極結構149的側壁上。
界面層150可包含介電材料如氧化矽層或氮氧化矽層,其形成方法可為化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他合適方法。閘極152可包含多晶矽,其形成方法可為合適的沉積製程如低壓化學氣相沉積或電漿輔助化學氣相沉積。硬遮罩層154與156的每一者可包含一或多層的介電材料如氧化矽及/或氮化矽,其形成方法可為化學氣相沉積或其他合適方法。可由光微影與蝕刻製程圖案化界面層150、閘極152、及硬遮罩層154與156。閘極間隔物160可包含介電材料,比如氧化矽、氮化矽、氮氧化矽、碳化矽、其他介電材料、或上述之組合,且可包含一或多層的介電材料。閘極間隔物160的形成方法可為順應性地沉積間隔物材料於隔離結構106、鰭狀物104、與暫時閘極結構149上。接著以非等向蝕刻製程蝕刻間隔物材料,以露出隔離結構106、硬遮罩層156、與鰭狀物104的上表面。暫時閘極結構149之側壁上的間隔物材料的部份轉變為閘極間隔物160。相鄰的閘極間隔物160提供溝槽158,其露出裝置100的源極/汲極區中的鰭狀物104。
方法200的步驟206 (圖2A與2B)形成源極/汲極結構162,如圖5A與5B所示。圖5A與5B係裝置100分別沿著圖1A的剖線A-A與剖線D-D的剖視圖。舉例來說,步驟206可蝕刻凹陷至溝槽158中露出的鰭狀物104中,並磊晶成長半導體材料於凹陷中。半導體材料可隆起高於鰭狀物104的上表面,如圖5A與5B所示。在此實施例中,一些源極/汲極結構162合併在一起,如圖5B所示。
方法200的步驟208 (圖2A與2B)形成多種結構,比如形成接點蝕刻停止層164於源極/汲極結構162上,並形成第一層間介電層166於接點蝕刻停止層164上,如圖6A與6B所示。圖6A與6B係裝置100分別沿著圖1A的剖線A-A與剖線B-B的剖視圖。接點蝕刻停止層164可包含氮化矽、氮氧化矽、含氧或碳元素的氮化矽、及/或其他材料,其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適方法。第一層間介電層166可包含四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料。第一層間介電層166的形成方法可為電漿輔助化學氣相沉積、可流動的化學氣相沉積、或其他合適方法。步驟208亦可進行一或多道化學機械研磨製程,以平坦化裝置100的上表面、移除硬遮罩層154與156、並露出閘極152。
方法200的步驟204b (圖2B)移除暫時閘極結構149以形成閘極溝槽169,如圖7A與7B所示。圖7A與7B係裝置100分別沿著圖1A的剖線A-A與剖線E-E的剖視圖。閘極溝槽169露出鰭狀物104的表面與閘極間隔物160的側壁表面。步驟204b可包含一或多道蝕刻製程,其對閘極152與界面層150中的材料具有選擇性。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻、或其他合適的蝕刻方法。
方法200的步驟204c (圖2B)沉積閘極結構(如高介電常數的介電層與金屬閘極)於閘極溝槽169中,如圖8A與8B所示。圖8A與8B係裝置100分別沿著圖1A的剖線A-A與剖線E-E的剖視圖。閘極結構112包括高介電常數的介電層108與導電層110。閘極結構112可進一步包含界面層(如氧化矽,未圖示)於高介電常數的介電層108與鰭狀物104之間。界面層的形成方法可採用化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他何適方法。高介電常數的介電層108與導電層110的材料可參考搭配圖1A至1D說明的上述內容。高介電常數的介電層108可包含一或多層的高介電常數介電材料,其沉積方法可採用化學氣相沉積、原子層沉積、及/或其他合適方法。導電層110可包含一或多個功函數金屬層與金屬填充層,其沉積方法可採用化學氣相沉積、物理氣相沉積、電鍍、及/或其他合適製程。
方法200的步驟210 (圖2A與2B)形成一或多個圖案化的硬遮罩層於裝置100上,如圖9A與9B所示。圖9A與9B係裝置100分別沿著圖1A的剖線D-D與剖線E-E的剖視圖。在此例中,顯示一個硬遮罩層170。硬遮罩層170可包含氮化鈦、氮化矽、非晶矽、矽酸釔、或其他合適的硬遮罩材料。在一實施例中,步驟210採用化學氣相沉積、物理氣相沉積、原子層沉積、或其他何適方法沉積硬遮罩層170,接著圖案化硬遮罩層170以形成切割金屬閘極溝槽171。切割金屬閘極溝槽171對應圖1A的介電結構114的位置。切割金屬閘極溝槽171露出導電層110與第一層間介電層166。在一例中,步驟210可塗佈、曝光、曝光後烘烤、與顯影光阻,以形成圖案化的光阻於硬遮罩層170上。在特定實施例中,步驟210採用單一的曝光製程(如極紫外線曝光)曝光光阻層使其具有潛圖案,接著顯影光阻層以提供開口。步驟210接著採用圖案化的光阻作為蝕刻遮罩,蝕刻硬遮罩層170以形成切割金屬閘極溝槽171。蝕刻製程可包含濕蝕刻、乾蝕刻、反應性離子蝕刻、或其他合適的蝕刻方法。之後可移除圖案化的光阻,而移除方法可為光阻剝除。
方法200的步驟212 (圖2A)經由切割金屬閘極溝槽171蝕刻閘極結構112。如圖10A所示的一實施例,步驟212向下延伸切割金屬閘極溝槽171穿過閘極結構112至隔離結構106中。圖10A係裝置100沿著圖1A的剖線E-E的剖視圖。蝕刻製程可採用一或多種蝕刻劑或蝕刻劑的混合物,以蝕刻閘極結構112中的多種層狀物。在例示性的實施例中,導電層110包含氮化鈦矽、氮化鉭、氮化鈦、鎢、或上述之組合。為蝕刻此導電層與高介電常數的介電層108,步驟218可實施乾蝕刻製程,其蝕刻劑具有氯、氟、溴、氧、氫、碳、或上述之組合的原子。舉例來說,蝕刻劑可具有氯氣、氧氣、含碳與氟的氣體、含溴與氟的氣體、與含碳與氫與氟的氣體之氣體混合物。在一例中,蝕刻劑包含氯氣、氧氣、四氟化碳、三氯化硼、與氟仿的氣體混合物。為確保閘極結構112的保留部份之間的隔離,一些實施例的步驟212進行一些過蝕刻以延伸切割金屬閘極溝槽171至隔離結構106中。需小心控制此過蝕刻,以避免露出基板102。延伸的開口亦稱作切割金屬閘極溝槽171。
圖10B係裝置100沿著圖1A的剖線D-D的剖視圖。如圖10B所示,亦調整步驟212中的蝕刻製程,以蝕刻第一層間介電層166。在形成切割金屬閘極溝槽171時,選擇性蝕刻的蝕刻劑對閘極結構112與第一層間介電層166等材料可具有不同的蝕刻速率,使切割金屬閘極溝槽171的不同位置的不同蝕刻速率可能造成不同的蝕刻深度。換言之,切割金屬閘極溝槽171的下表面可具有階狀輪廓,使閘極結構112之外的切割金屬閘極溝槽171的下表面高於隔離結構106,並延伸至閘極結構112的位置之隔離結構106中。
方法200的步驟214 (圖2A)將一或多種介電材料填入切割金屬閘極溝槽171以形成介電結構114,並進行化學機械研磨製程以移除圖案化的硬遮罩層170並平坦化裝置100的上表面。上述步驟形成的結構如圖11A與11B所示,分別為裝置沿著圖1A的剖線E-E與剖線D-D的剖視圖。切割金屬閘極溝槽171中的一或多種介電材料,可形成介電結構114 (特別是介電結構114a)。由於閘極結構112的側壁含金屬材料,至少介電結構114的外側部份(直接接觸閘極結構112的側壁)不含活性化學成份如氧。舉例來說,介電結構114的外側部份可含氮化矽而無氧或氧化物。在一些實施例中,介電結構114可包含一些氧化物於其內側部份。在其他實施例中,介電結構114可包含一致的氮化矽層而無氧化物。介電結構114的沉積方法可採用化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適方法。在此實施例中,介電結構114的沉積方法採用原子層沉積,以確保其完全填入切割金屬閘極溝槽171。
方法200的步驟216 (圖2A)沉積第二層間介電層180於裝置100上,如圖12所示。圖12係裝置沿著圖1A的剖線D-D的剖視圖。在一實施例中,第二層間介電層180為另一層間介電層,且可包含四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料。第二層間介電層180的形成方法可為電漿輔助化學氣相沉積、可流動的化學氣相沉積、或其他合適方法。
方法200的步驟218 (圖2C)蝕刻接點孔182至裝置100中以露出介電結構114,如圖13所示。圖13係裝置沿著圖1A的剖線D-D的剖視圖。在一實施例中,步驟218包含塗佈光阻於裝置100上、曝光並顯影光阻以形成開口,以及蝕刻第二層間介電層180以形成接點孔182。蓋層(未圖示)可位於第一層間介電層166與第二層間介電層180之間。具體而言,蓋層可作為蝕刻停止層,因此可調整蝕刻製程以選擇性蝕刻第二層間介電層180而不蝕刻蓋層。接著調整後續的蝕刻製程,以打開蓋層並露出第一層間介電層166與介電結構114a。在一實施例中,蝕刻製程可為乾蝕刻。舉例來說,蝕刻劑可具有四氟化碳、氫氣、與氮氣的氣體混合物。
方法200的步驟220 (圖2C)選擇性地使介電結構114凹陷,而實質上不蝕刻第一層間介電層166,如圖14所示。圖14係裝置沿著圖1A的剖線D-D的剖視圖。凹陷蝕刻製程為選擇性蝕刻製程,其蝕刻劑可選擇性地蝕刻介電結構114而不損傷或攻擊第一層間介電層166。因此第一層間介電層166維持完整。如此一來,可由不同的製程階段分別蝕刻以分開介電結構114與第一層間介電層166。在一實施例中,選擇性凹陷蝕刻製程為乾蝕刻。舉例來說,蝕刻劑可具有氟化甲烷與氫氣的混合物。在一些實施例中,步驟220之後的介電結構114在Z方向中凹陷至少50nm,且可形成介電結構114的凹陷上表面。步驟220可使介電結構114凹陷,直到低於相鄰的源極/汲極結構162面向上方的側壁163a之下。在其他實施例中,介電結構114的頂部仍可維持高於面向上方的側壁163a的底部,但後續蝕刻第一層間介電層116的步驟亦可使介電結構114凹陷。
方法200的步驟222 (圖2C)選擇性蝕刻第一層間介電層166,可向下延伸接點孔182以至少露出源極/汲極結構162面向上方的側壁163a,如圖15所示。圖15係裝置沿著圖1A的剖線D-D的剖視圖。在一些實施例中,凹陷蝕刻製程為選擇性蝕刻製程,其提供的蝕刻劑可選擇性蝕刻第一層間介電層166而實質上不蝕刻介電結構114。在一些實施例中,凹陷蝕刻製程為選擇性的蝕刻製程,其亦可調整為以較慢的蝕刻速率蝕刻介電結構114。舉例來說,第一層間介電層166的蝕刻速率與介電結構114的蝕刻速率之間的比例可大於約5:1。在使第一層間介電層166凹陷之後,介電結構114可自周圍的第一層間介電層166凸起。由於步驟222亦可蝕刻介電結構114的一部份,因此可進一步使介電結構114凹陷至低於相鄰的源極/汲極結構162的面向上的側壁163a。在一實施例中,選擇性凹陷蝕刻製程為乾蝕刻。舉例來說,蝕刻劑可包含六氟丁二烯、一氧化碳、二氧化碳、與氬氣的混合物。在蝕刻製程時,介電結構114的上表面可轉變為凸起。
方法200的步驟224 (圖2C)自接點孔182移除露出的接點蝕刻停止層164,如圖16所示。圖16係裝置沿著圖1A的剖線D-D的剖視圖。凹陷蝕刻製程為選擇性蝕刻製程,其蝕刻劑可選擇性地蝕刻接點蝕刻停止層164,而實質上不蝕刻第一層間介電層166。在一些實施例中,接點蝕刻停止層164與介電結構114均含氮化物,因此對介電結構114的蝕刻選擇性差,而會使介電結構114進一步凹陷約2nm至約5nm。在一些實施例中,步驟224之後的介電結構114低於相鄰的源極/汲極結構162面向下方的側壁163b。
方法200的步驟226 (圖2C)沉積一或多個導電材料184至接點孔182以作為源極/汲極接點,如圖17所示。圖17係裝置沿著圖1A的剖線D-D的剖視圖。在一實施例中,方法200在沉積導電材料184之前,可形成矽化物結構165於源極/汲極結構162的露出表面上。在一些實施例中,矽化物結構165的形成方法為矽化法如自對準的矽化物,其形成金屬材料於源極/汲極結構162上,接著升溫退火使下方矽與金屬反應形成矽化物,再蝕刻移除未反應的金屬。矽化物結構165有助於降低接點電阻。在一實施例中,導電材料184包括阻障層186 (如氮化鉭或氮化鈦)與金屬填充層188 (如鋁、銅、或鎢)。導電材料184中的層狀物的沉積方法可採用化學氣相沉積、物理氣相沉積、電漿輔助化學氣相沉積、原子層沉積、電鍍、或其他合適方法。由於源極/汲極結構162的大表面積,源極/汲極接點與下方的源極/汲極結構具有夠大的界面,以降低源極/汲極接點電阻。在圖17中,介電結構114的下表面高於閘極區之外的隔離結構106的上表面,使介電結構114沿著X方向自閘極區之外至閘極區中的下表面具有階狀輪廓,其階狀高度可介於約2nm至約10nm之間。在一些上述的其他實施例中,介電結構114的底部可延伸至隔離結構106中,如圖18所示。綜上所述,介電結構114沿著X方向自閘極區之外至閘極區中的下表面可實質上平坦,或者具有較小的階狀高度(比如介於約1nm至約5nm之間)。
方法200的步驟228 (圖2C)進行後續步驟以完成製作裝置100。舉例來說,方法200可進行化學機械研磨製程以移除多餘的導電材料184,並形成金屬內連線以電性連接多種電晶體的源極、汲極、與閘極端,進而形成完整的積體電路。
本發明的一或多個實施例可對半導體裝置與其形成方法提供許多優點,但不侷限於此。舉例來說,本發明實施例在切割金屬閘極製程之後,以選擇性蝕刻製程使源極/汲極接點孔中的隔離材料凹陷。這可加大源極/汲極接點所用的著陸區。這不只可增加裝置的積體程度,還可減少源極/汲極接點電阻。
在本發明一例示性的實施例中,方法包括提供結構,其具有基板;鰭狀物,位於基板上且縱向通常沿著第一方向;源極/汲極結構,位於鰭狀物上;第一介電層,覆蓋源極/汲極結構的上表面與側壁;隔離結構,埋置於第一介電層中,其中隔離結構的上表面高於源極/汲極結構;以及第二介電層,覆蓋第一介電層與隔離結構;進行第一蝕刻製程,使第二介電層凹陷以露出隔離結構;進行第二蝕刻製程,以選擇性地使隔離結構凹陷;以及進行第三蝕刻製程,使第一介電層凹陷以露出源極/汲極結構。在一些實施例中,方法更包括:沉積導電材料以直接接觸源極/汲極結構與隔離結構。在一些實施例中,源極/汲極結構具有面向上方的側壁,其中第二蝕刻製程選擇性地使隔離結構凹陷,使隔離結構的上表面的一部份低於面向上方的側壁。在一些實施例中,結構更具有閘極結構位於鰭狀物上,且閘極結構的縱向通常沿著第二方向,而第二方向垂直於第一方向,其中隔離結構沿著第一方向延伸,並將閘極結構分成兩部份。在一些實施例中,第二蝕刻製程之後的隔離結構的上表面之一部份與閘極結構的上表面共平面。在一些實施例中,隔離結構的下表面具有階狀輪廓。在一些實施例中,進行第三蝕刻製程之前進行第二蝕刻製程。在一些實施例中,第三蝕刻製程調整為亦蝕刻隔離結構。在一些實施例中,第三蝕刻製程之後,位於隔離結構之兩側側壁上的第一介電層的高度不一致。在一些實施例中,第二蝕刻製程之後的隔離結構的上表面轉變為凹陷,而第三蝕刻製程之後的隔離結構的上表面轉變為凸起。
在本發明另一例示性的實施例中,半導體裝置的形成方法包括形成第一鰭狀物與第二鰭狀物於基板上,且第一鰭狀物與第二鰭狀物具有閘極區與源極/汲極區;形成閘極結構於閘極區中的第一鰭狀物與第二鰭狀物上;沉積介電層於第一鰭狀物與第二鰭狀物之間,且介電層覆蓋閘極結構的側壁;進行蝕刻製程,以形成溝槽分開閘極結構,溝槽延伸至第一鰭狀物與第二鰭狀物之間的介電層的區域中;將介電材料填入溝槽;選擇性蝕刻介電材料;選擇性蝕刻介電層;以及沉積導電材料於源極/汲極區中的第一鰭狀物與第二鰭狀物上,且導電材料直接接觸介電材料。在一些實施例中,介電材料與介電層的材料組成不同,使選擇性蝕刻介電材料的步驟實質上不蝕刻介電層。在一些實施例中,選擇性蝕刻介電層之後的介電材料自介電層凸起。在一些實施例中,選擇性蝕刻介電層之前選擇性蝕刻介電材料。在一些實施例中,方法更包括:形成源極/汲極結構於第一鰭狀物與第二鰭狀物上,且源極/汲極結構具有面向上方的側壁,其中介電材料的上表面在選擇性蝕刻介電材料之前高於面向上方的側壁之位置,在選擇性蝕刻介電材料之後凹陷至低於面向上方的側壁,在一些實施例中,將介電材料填入溝槽的步驟包括原子層沉積製程。
在本發明另一例示性的實施例中,半導體裝置包括基板;鰭狀物,凸出基板;磊晶的源極/汲極結構,位於鰭狀物上;介電結構,與磊晶的源極/汲極結構相鄰,其中介電結構低於磊晶的源極/汲極結構面向上方的側壁;以及導電結構,直接接觸磊晶的源極/汲極結構與介電結構。在一些實施例中,半導體裝置更包括介電層,圍繞磊晶的源極/汲極結構與介電結構,其中位於介電結構兩側側壁上的介電層高度不一致。在一些實施例中,半導體裝置更包括:金屬閘極結構,位於通道區中的鰭狀物上,其中介電結構將金屬閘極結構至少分成第一部份與第二部份。在一些實施例中,介電結構的下表面具有階狀輪廓。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
Δ:間隙 A-A、B-B、C-C、D-D、E-E:剖線 h0:高度 h1:高度差異 P1:空間 100:裝置 102:基板 104、104a、104b、104c、104d:鰭狀物 106:隔離結構 110:導電層 112、112a、112b:閘極結構 114、114a、114b:介電結構 149:暫時閘極結構 150:界面層 152:閘極 154、156、170:硬遮罩層 158:溝槽 160:閘極間隔物 162:源極/汲極結構 163a、163b、163c:側壁 164:接點蝕刻停止層 165:矽化物結構 166:第一層間介電層 169:閘極溝槽 171:切割金屬閘極溝槽 180:第二層間介電層 182:接點孔 184:導電材料 186:阻障層 188:金屬填充層 190:區域 192、194:虛線框 200:方法 202、204、204a、204b、204c、206、208、210、212、214、216、218、220、222、224、226、228:步驟
圖1A係本發明實施例中,實施切割金屬閘極製程的半導體結構之上視圖。 圖1B、1C、與1D係一些實施例中,圖1A的結構剖視圖。 圖2A、2B、與2C係本發明實施例中,形成圖1A至1D所示的結構之方法的流程圖。 圖3、4A、4B、5A、5B、6A、6B、7A、7B、8A、8B、9A、9B、10A、10B、11A、11B、12、13、14、15、16、17、與18係一些實施例中,依據圖2A至2C的方法製作半導體結構時的剖視圖。
100:裝置
102:基板
104a、104b、104c:鰭狀物
106:隔離結構
114:介電結構
162:源極/汲極結構
163a、163b、163c:側壁
164:接點蝕刻停止層
165:矽化物結構
166:第一層間介電層
180:第二層間介電層
184:導電材料
186:阻障層
188:金屬填充層

Claims (13)

  1. 一種半導體裝置的形成方法,包括:提供一結構,其具有:一基板;一鰭狀物,位於該基板上且縱向通常沿著一第一方向;一源極/汲極結構,位於該鰭狀物上;一第一介電層,覆蓋該源極/汲極結構的上表面與側壁;一介電結構,埋置於該第一介電層中,其中該介電結構的上表面高於該源極/汲極結構;以及一第二介電層,覆蓋該第一介電層與該介電結構;進行一第一蝕刻製程,使該第二介電層凹陷以露出該介電結構;進行一第二蝕刻製程,以選擇性地使該介電結構凹陷;以及進行一第三蝕刻製程,使該第一介電層凹陷以露出該源極/汲極結構。
  2. 如請求項1之半導體裝置的形成方法,沉積一導電材料以直接接觸該源極/汲極結構與該介電結構。
  3. 如請求項1或2之半導體裝置的形成方法,其中該源極/汲極結構具有一面向上方的側壁,其中該第二蝕刻製程選擇性地使該介電結構凹陷,使該介電結構的上表面的一部份低於該面向上方的側壁。
  4. 如請求項1或2之半導體裝置的形成方法,其中該結構更具有一閘極結構位於該鰭狀物上,且該閘極結構的縱向通常沿著一第二方向,而該第二方向垂直於該第一方向,其中該介電結構沿著該第一方向延伸,並將該閘極結構分成兩部份。
  5. 如請求項4之半導體裝置的形成方法,其中該第二蝕刻製程之後,該介電結構的上表面之一部份與該閘極結構的上表面共平面。
  6. 如請求項4之半導體裝置的形成方法,其中該介電結構的下表面具有一階狀輪廓。
  7. 如請求項1或2之半導體裝置的形成方法,其中該第三蝕刻製程之後,位於該介電結構之兩側側壁上的該第一介電層的高度不一致。
  8. 如請求項1或2之半導體裝置的形成方法,其中該第二蝕刻製程之後的該介電結構的上表面轉變為凹陷,而該第三蝕刻製程之後的該介電結構的上表面轉變為凸起。
  9. 一種半導體裝置的形成方法,包括:形成一第一鰭狀物與一第二鰭狀物於一基板上,且該第一鰭狀物與該第二鰭狀物具有一閘極區與一源極/汲極區;形成一閘極結構於該閘極區中的該第一鰭狀物與該第二鰭狀物上;沉積一介電層於該第一鰭狀物與該第二鰭狀物之間,且該介電層覆蓋該閘極結構的側壁;進行一蝕刻製程,以形成一溝槽分開該閘極結構,該溝槽延伸至該第一鰭狀物與該第二鰭狀物之間的該介電層的一區域中;將一介電材料填入該溝槽;選擇性蝕刻該介電材料,使該介電材料之一上表面低於該介電層之一上表面;選擇性蝕刻該介電層;以及沉積一導電材料於該源極/汲極區中的該第一鰭狀物與該第二鰭狀物上,且 該導電材料直接接觸該介電材料。
  10. 如請求項9之半導體裝置的形成方法,更包括:形成多個源極/汲極結構於該第一鰭狀物與該第二鰭狀物上,且該些源極/汲極結構具有多個面向上方的側壁,其中該介電材料的上表面在選擇性蝕刻該介電材料之前高於該些面向上方的側壁之位置,在選擇性蝕刻該些介電材料之後凹陷至低於該些面向上方的側壁。
  11. 一種半導體裝置,包括:一基板;一鰭狀物,凸出該基板;一磊晶的源極/汲極結構,位於該鰭狀物上;一介電結構,與該磊晶的源極/汲極結構相鄰,其中該介電結構低於該磊晶的源極/汲極結構面向上方的側壁;以及一導電結構,直接接觸該磊晶的源極/汲極結構與該介電結構。
  12. 如請求項11之半導體裝置,更包括:一介電層,圍繞該磊晶的源極/汲極結構與該介電結構,其中位於該介電結構兩側側壁上的該介電層高度不一致。
  13. 如請求項11或12之半導體裝置,更包括:一金屬閘極結構,位於該通道區中的該鰭狀物上,其中該介電結構將該金屬閘極結構至少分成一第一部份與一第二部份。
TW108131475A 2018-08-31 2019-09-02 半導體裝置的形成方法 TWI719615B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862725818P 2018-08-31 2018-08-31
US62/725,818 2018-08-31
US16/536,913 2019-08-09
US16/536,913 US10930564B2 (en) 2018-08-31 2019-08-09 Metal gate structure cutting process

Publications (2)

Publication Number Publication Date
TW202025310A TW202025310A (zh) 2020-07-01
TWI719615B true TWI719615B (zh) 2021-02-21

Family

ID=69639468

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108131475A TWI719615B (zh) 2018-08-31 2019-09-02 半導體裝置的形成方法

Country Status (3)

Country Link
US (2) US10930564B2 (zh)
KR (1) KR102261369B1 (zh)
TW (1) TWI719615B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10755964B1 (en) * 2019-05-31 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain isolation structure and methods thereof
US11728223B2 (en) * 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US11362213B2 (en) * 2020-03-31 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a FinFET device with a backside power rail and a backside self-aligned via by etching an extended source trench
DE102020129842A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-vorrichtungen mit rückseitiger stromschiene und rückseitiger selbstjustierender durchkontaktierung
US11355399B2 (en) 2020-05-19 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gap patterning for metal-to-source/drain plugs in a semiconductor device
KR20210151277A (ko) * 2020-06-04 2021-12-14 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US11515165B2 (en) * 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
KR20220028681A (ko) * 2020-08-31 2022-03-08 삼성전자주식회사 반도체 장치
KR20220067590A (ko) * 2020-11-16 2022-05-25 삼성전자주식회사 반도체 소자
US12009397B2 (en) * 2021-04-02 2024-06-11 Samsung Electronics Co., Ltd. Semiconductor device
US11728218B2 (en) * 2021-04-16 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20230124681A1 (en) * 2021-10-19 2023-04-20 International Business Machines Corporation Semiconductor device having hybrid middle of line contacts

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160133632A1 (en) * 2014-11-12 2016-05-12 Hong-bae Park Integrated circuit device and method of manufacturing the same
US20170018620A1 (en) * 2015-07-17 2017-01-19 Qualcomm Incorporated Device and method to connect gate regions separated using a gate cut
TW201731023A (zh) * 2015-11-30 2017-09-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US20180138092A1 (en) * 2016-11-14 2018-05-17 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20180182859A1 (en) * 2016-12-22 2018-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US20180248030A1 (en) * 2016-10-17 2018-08-30 International Business Machines Corporation Self-aligned gate cut with polysilicon liner oxidation

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US20150076607A1 (en) * 2013-09-18 2015-03-19 International Business Machines Corporation Fin field effect transistor with merged metal semiconductor alloy regions
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9553171B2 (en) 2014-02-14 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9653461B2 (en) 2014-03-28 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with low source/drain contact resistance
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9935199B2 (en) 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
TWI691076B (zh) 2016-08-03 2020-04-11 聯華電子股份有限公司 半導體結構及其製作方法
TWI707473B (zh) 2016-11-23 2020-10-11 聯華電子股份有限公司 半導體裝置以及其製作方法
US10026737B1 (en) 2016-12-30 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9911736B1 (en) 2017-06-14 2018-03-06 Globalfoundries Inc. Method of forming field effect transistors with replacement metal gates and contacts and resulting structure

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160133632A1 (en) * 2014-11-12 2016-05-12 Hong-bae Park Integrated circuit device and method of manufacturing the same
US20170018620A1 (en) * 2015-07-17 2017-01-19 Qualcomm Incorporated Device and method to connect gate regions separated using a gate cut
TW201731023A (zh) * 2015-11-30 2017-09-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US20180248030A1 (en) * 2016-10-17 2018-08-30 International Business Machines Corporation Self-aligned gate cut with polysilicon liner oxidation
US20180138092A1 (en) * 2016-11-14 2018-05-17 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US20180182859A1 (en) * 2016-12-22 2018-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof

Also Published As

Publication number Publication date
US20210175126A1 (en) 2021-06-10
KR20200026732A (ko) 2020-03-11
US10930564B2 (en) 2021-02-23
US20200075421A1 (en) 2020-03-05
KR102261369B1 (ko) 2021-06-09
TW202025310A (zh) 2020-07-01
US11694931B2 (en) 2023-07-04

Similar Documents

Publication Publication Date Title
TWI719615B (zh) 半導體裝置的形成方法
TWI692104B (zh) 半導體裝置與其製作方法
TWI698938B (zh) 半導體結構及其製造方法
TWI587392B (zh) 半導體裝置及其形成方法
TW202025494A (zh) 半導體結構
TW201913757A (zh) 半導體結構與其製作方法
TW202025398A (zh) 半導體結構的形成方法
TWI807067B (zh) 半導體結構與其形成方法、鰭狀場效電晶體裝置、與閘極結構
US20230352345A1 (en) Metal gate structure cutting process
TW202002025A (zh) 半導體結構的形成方法
TW202119546A (zh) 半導體裝置的形成方法
TW202109680A (zh) 半導體裝置及其形成方法
TW202139357A (zh) 半導體元件及其形成方法
TW202201543A (zh) 半導體裝置
TW202127617A (zh) 半導體結構
TW202230740A (zh) 半導體裝置
TW202147452A (zh) 半導體裝置及其形成方法
TW202205596A (zh) 半導體裝置
TW202131519A (zh) 半導體裝置
TW202109623A (zh) 形成半導體裝置的方法
KR102473596B1 (ko) 반도체 FinFET 디바이스 및 방법
KR102224831B1 (ko) 반도체 FinFET 디바이스 및 방법
TWI845103B (zh) 半導體裝置結構之形成方法
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
TW202416361A (zh) 半導體裝置及其形成方法