TW202127617A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW202127617A
TW202127617A TW109125034A TW109125034A TW202127617A TW 202127617 A TW202127617 A TW 202127617A TW 109125034 A TW109125034 A TW 109125034A TW 109125034 A TW109125034 A TW 109125034A TW 202127617 A TW202127617 A TW 202127617A
Authority
TW
Taiwan
Prior art keywords
layer
source
metal
contact
drain
Prior art date
Application number
TW109125034A
Other languages
English (en)
Inventor
游力蓁
張家豪
莊正吉
林佑明
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202127617A publication Critical patent/TW202127617A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在此提供一種半導體結構。此半導體結構包括設置於半導體基板上的金屬閘極結構,設置於金屬閘極結構的複數個側壁上的複數個閘極間隔物,以及設置於金屬閘極結構上的閘極接觸。此半導體結構更包括設置於複數個複數個閘極間隔物上的蝕刻停止層,以及相鄰於複數個閘極間隔物的極/汲極接觸,其中由蝕刻停止層所定義的源極/汲極接觸的頂部部分比由複數個閘極間隔物所定義的源極/汲極接觸的底部部分窄。

Description

半導體結構
本發明實施例係有關於一種半導體結構,且特別係有關於一種具有接觸部件的半導體結構及其製造方法。
半導體積體電路工業已經歷快速成長。積體電路之材料和設計方面的技術進步已經產生了數代的積體電路,其中每一代都比上一代具有更小且更複雜的電路。在積體電路的發展過程中,隨著幾何尺寸(亦即,利用製程所能夠製造的最小裝置尺寸或線寬)的降低,功能密度(functional density,亦即,每一晶片面積中內連接的裝置數量)已普遍增加。尺寸縮減之製程具有提升生產效率及降低相關成本的優點。然而,隨著如此的尺寸縮減,加工與製造積體電路的複雜性也隨之增加。
舉例而言,隨著部件尺寸的不斷減小,垂直內連線的製造變得更具挑戰性。在一實施例中,當減小總體部件尺寸時,金屬閘極接觸與相鄰的源極/汲極接觸之間的分隔距離可能會收縮。由於這個原因及其他原因,需要改進在積體電路中形成這些部件的方法。
本發明之一實施例係揭示一種半導體結構,包括:金屬閘極結構,設置於半導體基板上;閘極間隔物,設置於金屬閘極結構的側壁上;閘極接觸,設置於金屬閘極結構上;源極/汲極部件,相鄰於閘極間隔物;介電層,設置於閘極間隔物的側壁上;以及源極/汲極接觸,設置於源極/汲極部件上,其中源極/汲極接觸包括第一金屬層設置於源極/汲極部件上,以及第二金屬層設置於第一金屬層上,其中第一金屬層的側壁接觸閘極間隔物,且其中第二金屬層的側壁藉由介電層而與閘極間隔物隔開。
本發明之一實施例係揭示一種半導體結構,包括:金屬閘極結構,設置於半導體基板上;複數個閘極間隔物,設置於金屬閘極結構的複數個側壁上;閘極接觸,設置於金屬閘極結構上;蝕刻停止層,設置於複數個閘極間隔物上;以及源極/汲極接觸,相鄰於複數個閘極間隔物,其中由蝕刻停止層所定義的源極/汲極接觸的頂部部分比由複數個閘極間隔物所定義的源極/汲極接觸的底部部分窄。
本發明之一實施例係揭示一種半導體裝置的形成方法,包括:提供半導體結構,其中半導體結構具有金屬閘極結構,複數個閘極間隔物設置於金屬閘極結構的複數個側壁上,以及源極/汲極部件相鄰於複數個閘極間隔物;形成第一金屬層於源極/汲極部件上且位於複數個閘極間隔物之間;凹陷化第一金屬層以形成溝槽;形成介電層於溝槽的複數個側壁上;形成第二金屬層於溝槽中的第一金屬層上,其中第二金屬層的複數個側壁是由介電層所定義;形成層間介電層於第二金屬層上;以及形成接觸部件於層間介電層中,以接觸金屬閘極結構。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同部件(feature)。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本說明書敘述了一第一部件形成於一第二部件之上或上方,即表示其可能包含上述第一部件與上述第二部件是直接接觸的實施例,亦可能包含了有額外的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與第二部件可能未直接接觸的實施例。另外,以下揭露的不同範例可能重複使用相同的參照符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
再者,以下揭露的不同範例可能重複使用相同的參照符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。此外,在本揭露的下文中,所謂一個部件形成於、連接到及/或耦合到另一個部件上,可以包括這些部件形成為直接接觸的實施方式,並且還可以包括形成額外的部件於這些部件之間,而使這些部件可能不直接接觸的實施方式。此外,其與空間相關用詞,例如“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖式中一個元件或部件與另一個(些)元件或部件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含部件的裝置之不同方位。裝置能夠以其他方式定向(旋轉90度或其他方向),並且本文中所使用的空間相關用詞可以同樣地被相應地解釋。此外,當使用“大約”、“近似於”或其他類似的用語等描述一個數值或一個數值範圍時,此術語旨在涵蓋在包括所述數值的合理範圍內的數字,例如在所述數值的+/- 10%,或是本技術領域中具有通常知識者所理解的其他數值。舉例而言,技術用語“大約5 nm”涵蓋從4.5 nm至5.5 nm的尺寸範圍。
本揭露基本上是有關於半導體裝置,更具體而言,是有關於場效電晶體(field-effect transistors, FETs),例如,平面式電晶體或三維鰭式場效電晶體(fin-like FETs, FinFETs)。本揭露的目的是提供垂直內連線部件(例如,導孔接觸部件),以連接裝置級接觸部件(例如,源極/汲極接觸、金屬閘及堆疊等)與半導體裝置中的其他內連線部件。
在場效電晶體的製造中,通常會先實施微影圖案化製程以形成接觸溝槽(或接觸孔)於裝置級部件(例如,金屬閘極堆疊、源極/汲極部件等)上,之後再沉積導電材料於此接觸溝槽中,以形成金屬閘極接觸或源極/汲極(S/D)接觸。通常會在金屬閘極接觸與相鄰的源極/汲極接觸之間提供介電結構(例如,閘極間隔物),以避免在裝置操作期間發生短路。然而,隨著裝置尺寸的持續縮小,相鄰金屬閘極接觸與源極/汲極接觸之間的間隔距離也縮小到接近微影設備的加工極限的值,因而降低了微影圖案化製程所允許的誤差範圍。在某些情況下,與微影製程相關的潛在覆蓋誤差(overlay error)可能會無意間導致金屬閘極接觸朝向源極/汲極接觸偏移,因而進一步減小間隔距離,並且發生接觸部件之間可能短路的風險。由於這些原因及其他原因,期望改進用於形成金屬閘極接觸的方法,特別是用於確保在場效電晶體製造過程中金屬閘極接觸與相鄰的源極/汲極接觸之間能夠有足夠的間隔距離。
第1圖繪示了依據本揭露之一些實施例之用於形成半導體裝置200的方法100的實施例。方法100僅是示例,並非用以限定本揭露,本揭露之保護範圍應以申請專利範圍所明確記載的內容為準。對於此方法的其他實施例,可以在方法100之前、之間及之後提供額外的操作步驟,並且可以移動、替換或省略所述的一些操作步驟。下文結合第2圖至第16C圖描述方法100,其中第2圖至第16C圖繪示了在方法100的中間步驟期間的半導體裝置200的一部分。第3圖至第16C圖是沿著第2圖中的虛線AA’所繪製的裝置200的剖面示意圖。裝置200可以是在積體電路或其一部分的製程期間所製造的中間裝置,其可包括靜態隨機存取記憶體(SRAM)及/或其他邏輯電路,被動元件(例如,電阻、電容及電感)以及主動元件,例如,p型場效電晶體(PFETs)、n型場效電晶體(NFETs)、鰭式場效電晶體、金屬氧化物半導體場效電晶體(MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體及/或其他記憶體單元。本揭露不限於任何特定數量的裝置或裝置區域,或任何特定的裝置配置。舉例而言,雖然所繪示的裝置200是三維鰭式場效電晶體裝置,但是本揭露亦可提供用於製造平面式場效電晶體裝置的實施例。
請參照第2圖及第3圖,在操作步驟102,方法100提供一種包括基板202的裝置200,此基板202具有設置在其上的三維主動區域204 (以下稱為鰭片204)。裝置200進一步包括設置在鰭片204上的高介電常數金屬閘極(high-k metal gate, HKMG)結構210;設置在高介電常數金屬閘極結構210的側壁上的閘極間隔物212;設置在鰭片204上的源極/汲極部件214;設置在基板202上且用以隔離裝置200的各個元件的隔離結構208;以及設置在隔離結構208與源極/汲極部件214上的層間介電(ILD)層218。如第2圖所繪示,在裝置200中存在兩個鰭片204。然而,為了使說明更加清楚,將參考這兩個鰭片204之中的一者而討論本揭露的方法;當然,本揭露同樣適用於這兩個鰭片204之中的另一者。
基板202可包括元素(單元素)半導體,例如,矽、鍺及/或其他合適的材料;化合物半導體,例如,碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦及/或其他合適的材料;合金半導體,例如,矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)、砷磷化銦鎵(GaInAsP)及/或其他合適的材料。基板202可以是具有均勻成分的單層材料。此外,基板202可以包括具有適合於積體電路裝置製造的相似或不同成分的多個材料層。在一實施例中,基板202可以是絕緣體上覆矽(silicon-on-insulator, SOI)基板,其具有形成在氧化矽層上的矽層。在另一實施例中,基板202可以包括導電層、半導體層、介電層、其他層或上述之組合。
在基板202包括場效電晶體的一些實施例中,在基板202之中或之上形成各種摻雜區域,例如,源極/汲極區域。取決於設計需求,可使用n型摻質(例如,磷或砷)及/或p型摻質(例如硼)對摻雜區域進行摻雜。摻雜區域可直接形成在基板202上,例如,以p型井結構、n型井結構、雙重井(dual-well)結構或凸起結構的型態。可藉由佈植摻質原子、原位摻雜的磊晶成長及/或其他合適的技術,而形成摻雜區域。
仍請參照第2圖及第3圖,鰭片204可適合於形成p型或n型鰭式場效電晶體。可使用包括光微影(photolithography)製程及蝕刻製程的合適製程而製造鰭片204。光微影製程包括在基板202上形成光阻層(光阻),將此光阻於一圖案下曝光,進行曝光後烘烤(post-exposure bake)製程,以及顯影此光阻,以形成包括此光阻的罩幕元件(未繪示)。然後,將罩幕元件可用於蝕刻凹口到基板202之中,而在基板202上留下鰭片204。蝕刻製程可包括乾式蝕刻、濕式蝕刻、反應性離子蝕刻(RIE)及/或其他合適的製程。
用於形成鰭片204的方法的許多其他實施例可能是合適的。舉例而言,可藉由雙重圖案化(double-patterning)製程或多重圖案化(multiple-patterning)製程而圖案化鰭片204。一般而言,雙重圖案化製程或多重圖案化製程是結合光微影與自對準製程,而允許創造具有較小節距的圖案,例如,其節距小於使用單一直接光微影製程所能夠得到的節距。舉例而言,在一實施例中,形成犧牲層於基板之上,並使用光微影製程對犧牲層進行圖案化。使用自對準製程,而形成間隔物於經過圖案化的犧牲層旁。移除犧牲層,之後可使用其餘的間隔物或心軸(mandrel)以將鰭片圖案化。
隔離結構208可包括氧化矽、氮化矽、氮氧化矽、氟摻雜的矽酸鹽玻璃(fluoride-doped silicate glass, FSG)、低介電常數(low-k)介電材料及/或其他合適的材料。隔離結構208可包括淺溝槽隔離(STI)部件。在一實施例中,在鰭片204的形成期間,藉由在基板202中蝕刻溝槽,以形成隔離結構208。然後,可藉由沉積製程,使用上述的隔離材料填充溝槽,之後進行化學機械平坦化/研磨(chemical mechanical planarization/polishing, CMP)製程。其他隔離結構,例如,場氧化物(field oxide)、矽局部氧化(local oxidation of silicon, LOCOS)及/或其他合適的結構,也可以作為隔離結構208。此外,隔離結構208可包括多層結構,例如,具有一層或多層熱氧化物襯層的多層結構。可藉由任何合適的方法沉積隔離結構208,例如,化學氣相沉積(CVD)、流動式化學氣相沉積(flowable CVD, FCVD)、旋轉塗佈玻璃(spin-on-glass, SOG)、其他合適的方法或上述之組合。
仍請參照第2圖及第3圖,裝置200包括設置於鰭片204上的源極/汲極部件214,每一個源極/汲極部件214被設置為相鄰於高介電常數金屬閘極結構210。可藉由任何合適的技術形成源極/汲極部件214,例如,蝕刻製程,隨後進行一次或複數次的磊晶成長製程。在一實例中,進行一次或複數次的蝕刻製程,以移除鰭片204的一部分而在其中形成凹口(未繪示)。可進行清潔製程,而使用氫氟酸(HF)溶液或其他合適的溶液清潔凹口。隨後,進行一次或複數次的磊晶成長製程,以在凹口中成長磊晶源極/汲極部件。每個源極/汲極部件214可適合於形成p型鰭式場效電晶體裝置(例如,p型磊晶材料)或n型鰭式場效電晶體裝置(例如,n型磊晶材料)。此p型磊晶材料可包括一個或複數個矽鍺的磊晶層(epi SiGe),其摻雜有p型摻質,例如,硼、鍺,銦及/或其他p型摻質。此n型磊晶材料可包括一個或複數個矽的磊晶層(epi Si)或矽碳的磊晶層(epi SiC),其摻雜有n型摻質,例如,砷、磷及/或其他n型摻質。
裝置200進一步包括高介電常數金屬閘極結構210設置於鰭片204的一部分上,使得高介電常數金屬閘極結構210插入源極/汲極部件214之間。高介電常數金屬閘極結構210包括設置於鰭片204上的高介電常數介電層(亦即,其介電常數大於氧化矽之約為3.9的介電常數,未描述),以及設置於高介電常數介電層上的金屬閘極電極(未繪示)。金屬閘極電極可進一步包括設置在高介電常數介電層上的至少一個功函數金屬層,以及位於其上方的塊材(bulk)導電層。功函數金屬層可為p型功函數金屬層或n型功函數金屬層。例示的功函數材料包括氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、矽化鋯(ZrSi2 )、矽化鉬(MoSi2 )、矽化鉭(TaSi2 )、矽化鎳(NiSi2 )、鈦(Ti)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、碳氮化鉭(TaCN)、氮化矽鉭(TaSiN)、其他合適的功函數材料或上述之組合。塊材導電層可包括銅(Cu)、鎢(W)、鋁(Al)、鈷(Co)、其他合適的材料或上述之組合。高介電常數金屬閘極結構210可進一步包括許多其他層(未繪示),例如,界面層,其設置在鰭片204與高介電常數介電層之間,硬罩幕層、蓋層、阻障層、其他合適的層或上述之組合。可藉由任何合適的方法沉積高介電常數金屬閘極結構210的各個層,例如,化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積、物理氣相沉積(PVD)、電鍍、其他合適的方法或上述之組合。可進行研磨製程,例如,化學機械研磨製程,以從高介電常數金屬閘極結構210的頂表面移除多餘的材料,而平坦化裝置200的頂表面。
裝置200進一步包括設置於高介電常數金屬閘極結構210的側壁上的閘極間隔物212。閘極間隔物212可包括介電材料,例如,含氧材料(例如,氧化矽、碳氧化矽(silicon oxycarbide)、氧化鋁、氮氧化鋁(aluminum oxynitride)、氧化鉿、氧化鈦、氧化鋁鉿(zirconium aluminum oxide)、氧化鋅、氧化鉭、氧化鑭、氧化釔、氧碳氮化矽(silicon oxycarbonitride)等)、含氮材料(例如,碳氮化鉭(tantalum carbonitride)、氮化矽、氮化鋯、碳氮化矽(silicon carbonitride)等)、含矽材料(例如,矽化鉿(hafnium silicide)、矽、矽化鋯(zirconium silicide)等)、其他合適的材料或上述之組合。閘極間隔物212可以是單層結構或多層結構。值得注意的是,閘極間隔物212的成分不同於周圍的介電元件的成分,使得在後續的蝕刻製程期間,閘極間隔物212與周圍的介電元件之間可能存在蝕刻選擇性。可先在裝置200上方毯覆式地沉積間隔物材料,然後進行非等向性蝕刻製程,以移除部分的間隔物材料,藉此而形成閘極間隔物212於虛設閘極結構的側壁上。
在一些實施例中,在製造裝置200的其他元件(例如,源極/汲極部件214)之後形成高介電常數金屬閘極結構210。如此的製程通常稱為閘極替換製程(gate replacement process),其包括形成虛設閘極結構(未繪示)作為高介電常數金屬閘極結構210的佔位結構;形成源極/汲極部件214;形成層間介電層218 (以及視情況而形成的接觸蝕刻停止層)於虛設閘極結構和源極/汲極部件214上;藉由例如,化學機械研磨製程平坦化層間介電層218,以暴露虛設閘極結構的頂表面;移除位於層間介電層218中的虛設閘極,以形成暴露鰭片204的通道區域的溝槽;以及形成高介電常數金屬閘極結構210於此溝槽中,以完成閘極替換製程。在一些實施例中,層間介電層218包括介電材料,例如,四乙氧基矽烷(tetraethylorthosilicate, TEOS)、氧化矽、低介電常數介電材料、經過摻雜的氧化矽,例如,硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、氟摻雜的矽酸鹽玻璃、硼摻雜矽玻璃(boron doped silicon glass, BSG)、其他合適的介電材料或上述之組合。The 層間介電層218可包括具有多種介電材料的多層結構,並且可藉由沉積製程而形成,例如,化學氣相沉積、流動式化學氣相沉積、旋轉塗佈玻璃、其他合適的方法或上述之組合。接觸蝕刻停止層(contact etch-stop layer, CESL)可包括氮化矽、氮氧化矽、具有氧或碳元素的氮化矽、其他合適的材料或上述之組合,並且可藉由化學氣相沉積、物理氣相沉積、原子層沉積、其他合適的方法或上述之組合而形成。
請參照第4圖到第6圖,在操作步驟104,方法100形成介電層232於高介電常數金屬閘極結構210之上。請參照第4圖,方法100首先移除部分的高介電常數金屬閘極結構210,以形成溝槽230。在一些實施例中,方法100進行蝕刻製程,例如,乾式蝕刻製程,以形成溝槽230。此蝕刻製程相對於層間介電層218選擇性地移除高介電常數金屬閘極結構210,使得層間介電層218不被蝕刻或實質上不被蝕刻。在一些實施例中,如本文所述,在操作步驟104期間,可移除閘極間隔物212的頂部部分。
請參照第5圖到第6圖,之後,方法100形成介電層232於溝槽230中。在本實施例中,請參照第5圖,方法100藉由合適的方法沉積介電材料,例如,化學氣相沉積、原子層沉積、流動式化學氣相沉積、物理氣相沉積、其他合適的方法或上述之組合。介電層232可包括任何合適的材料,例如,含氧材料(例如,氧化矽、碳氧化矽(silicon oxycarbide)、氧化鋁、氮氧化鋁(aluminum oxynitride)、氧化鉿、氧化鈦、氧化鋁鉿(zirconium aluminum oxide)、氧化鋅、氧化鉭、氧化鑭、氧化釔、氧碳氮化矽(silicon oxycarbonitride)等)、含氮材料(例如,碳氮化鉭(tantalum carbonitride)、氮化矽、氮化鋯、碳氮化矽(silicon carbonitride)等)、含矽材料(例如,矽化鉿(hafnium silicide)、矽、矽化鋯(zirconium silicide)等)、其他合適的材料或上述之組合。之後,請參照第6圖,方法100使用合適的方法(例如,化學機械研磨製程)平坦化裝置200的頂表面,以暴露層間介電層218的頂表面。如此一來,設置於高介電常數金屬閘極結構210上的介電層232的每一個部分被配置為具有“T”形,且其頂部部分設置在閘極間隔物212上方。換句話說,介電層232與閘極間隔物212自對準。在一些實施例中,方法100省略了形成介電層232的步驟,並且直接從操作步驟102進行到操作步驟106。
請參照第7圖到第9圖,在操作步驟106,方法100形成導電層220於源極/汲極部件214之上。請參照第7圖,方法100移除設置在源極/汲極部件214上的層間介電層218的部分,以形成溝槽234。方法100可實施任何合適的蝕刻製程(例如,乾式蝕刻、濕式蝕刻及/或反應性離子蝕刻),以形成溝槽234。在一些實施例中,蝕刻製程是乾式蝕刻製程,其採用一種或複數種蝕刻劑,例如,含氟氣體(例如,六氟丁二烯(C4 F6 )、全氟甲烷(CF4 )、六氟化硫(SF6 )、二氟甲烷(CH2 F2 )、三氟甲烷(CHF3 )及/或全氟乙烷(C2 F6 ))、氧氣、氫氣、其他合適的氣體或上述之組合。在此所描述的實施例中,蝕刻製程採用六氟丁二烯(C4 F6 )、氧氣及氫氣的混合物作為蝕刻劑。
之後,方法100可形成矽化物層(未繪示)於源極/汲極部件214上。在一些實施例中,矽化物層包括金屬矽化物,例如,矽化鎳(nickel silicide)、矽化鈷(cobalt silicide)、矽化鎢(tungsten silicide)、矽化鉭(tantalum silicide)、矽化鈦(titanium silicide)、矽化鉑(platinum silicide)、矽化鉺(erbium silicide)、矽化鈀(palladium silicide)、其他合適的矽化物或上述之組合。可藉由沉積製程形成矽化物層,例如,化學氣相沉積、原子層沉積、物理氣相沉積、其他合適的製程或上述之組合。舉例而言,可沉積金屬層(例如,鎳)於源極/汲極部件214上。然後,對裝置200進行退火以允許此金屬層與源極/汲極部件214的半導體材料進行反應。之後,移除未反應的金屬層,而留下矽化物層於源極/汲極部件214上。可替代地,可藉由任何合適的沉積方法直接形成矽化物層於源極/汲極部件214上,例如,化學氣相沉積、原子層沉積、物理氣相沉積、其他合適的方法或上述之組合。
請參照第8圖,之後,方法100沉積導電層220於溝槽234中及部分的介電層232上方。導電層220可包括任何合適的材料,例如,鎢(W)、鈷(Co)、釕(Ru)、銅(Cu)、鉭(Ta)、鈦(Ti)、鋁(Al)、鉬(Mo)、其他合適的導電材料或上述之組合。可藉由任何合適的方法沉積導電層220,例如,化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、其他合適的方法或上述之組合。在一些實施例中,取決於材料的特定選擇,在沉積導電層220之前,方法100先在溝槽234中形成阻障層(未繪示),以防止導電層220的任何擴散。之後,請參照第9圖,方法100使用合適的方法(例如,化學機械研磨製程)平坦化裝置200的頂表面,以形成導電層220於源極/汲極部件214上。在一些實施例中,藉由化學機械研磨製程移除形成於介電層232上方的導電層220的部分,使得導電層220的頂表面實質上與介電層232的頂表面齊平。
請參照第10圖,在操作步驟108,方法100移除導電層220的一部分以形成溝槽240。在本實施例中,方法100藉由實施交替的氧化與蝕刻製程的循環而形成溝槽240。在一些實施例中,將導電層220暴露於化學試劑(例如,硫酸)中,其被配置為氧化導電層220的頂部部分。之後,將導電層220暴露於蝕刻劑(例如,經過稀釋的氫氟酸(DHF)、經過稀釋的臭氧及/或其他合適的蝕刻劑)中,其配置為從導電層220移除經過氧化的頂部部分。在一些實施例中,方法100重複操作步驟108,直到達到所需量的導電層220保留在源極/汲極部件214上方。值得注意的是,本揭露並未限制所移除的導電層220的量,只要在進行操作步驟108之後導電層220的高度H2大於0 nm就好,亦即,導電層220並未被操作步驟108完全移除。在本實施例中,H2是導電層220的高度H1 (繪示於第9圖中)的大約5%到大約95%。考慮到蝕刻製程的製程變異性,如果目標H2小於H1的大約5%,則可能會完全移除導電層220,且後續形成於溝槽240中的蝕刻停止層(etch-stop layer, ESL;例如,蝕刻停止層242)可能會接觸源極/汲極部件214的頂表面,因而限制了所得到的源極/汲極接觸與源極/汲極部件214之間的接觸面積。另一方面,如果目標H2大於在H1的大約95%,則有可能沒有移除足夠數量的導電層220以容納後續形成於溝槽240中的層。
請參照第11圖,在操作步驟110,方法100沉積蝕刻停止層242於裝置200之上。值得注意的是,蝕刻停止層242被選擇性地沉積在裝置200的介電部件(亦即,閘極間隔物212及介電層232)上。換句話說,蝕刻停止層242化學生長裝置200的暴露出的介電材料的表面上,而不是(或實質上不是)生長在包括金屬的導電層220的表面上。在一些實施例中,方法100在原子層沉積製程中沉積蝕刻停止層242,在此製程中以循環方式施加用於形成蝕刻停止層242的前驅物,且所得到的蝕刻停止層242選擇性地形成於裝置200的介電部件上。在本實施例中,可藉由調整在原子層沉積製程期間在沉積室中進行的沉積循環的次數,以控制ESL 242的尺寸。蝕刻停止層242可以包括任何合適的材料,例如含氧材料(例如,氧化矽、碳氧化矽(silicon oxycarbide)、氧化鋁、氮氧化鋁(aluminum oxynitride)、氧化鉿、氧化鈦、氧化鋁鉿(zirconium aluminum oxide)、氧化鋅、氧化鉭、氧化鑭、氧化釔、氧碳氮化矽(silicon oxycarbonitride)等)、含氮材料(例如,碳氮化鉭(tantalum carbonitride)、氮化矽、氮化鋯、碳氮化矽(silicon carbonitride)等)、含矽材料(例如,矽化鉿(hafnium silicide)、矽、矽化鋯(zirconium silicide)等)、其他合適的材料或上述之組合。值得注意的是,蝕刻停止層242的成分被選擇為明顯不同於介電層232及閘極間隔物212的成分,以確保在後續的處理步驟期間這些層之間具有足夠的蝕刻選擇性。在一個如此的實施例中,蝕刻停止層242、介電層232及閘極間隔物212可包括含鋁材料(例如,氧化鋁)、含氮材料(例如,氮化矽)及含碳材料(例如,碳氧化矽)。
在一些實施例中,蝕刻停止層242的尺寸可藉由形成在介電層232上的部分的厚度T1與形成在溝槽240的側壁上的部分的厚度T2來表示。值得注意的是,由於當前驅物氣體分子在沉積製程中到達不同表面時(例如,在諸如介電層232的水平表面上或在溝槽240中的垂直側壁表面上)的動力學差異,T1可以不同於T2。在一些實施例中,T1大於T2,且T2相對於T1的比率為大約1:5至大約3:5。在其他實施例中,隨著沉積室的溫度及/或壓力降低,T2相對於T1的比率降低。在一些實施例中,T1可為約5 nm至約10 nm,且T2為約2 nm至約3 nm;當然,本實施例並不限於這些尺寸。
仍請參照第11圖,在沉積蝕刻停止層242之後,溝槽240的頂部部分由寬度W2所定義,此寬度W2是導電層220的寬度W1與T2的兩倍的差,如本圖所繪示。換句話說,W2 = W1–2*T2。在一些實施例中,W2是W1的至少0.5倍,使得在每個溝槽240中保留足夠的開口,以容納在導電層220上方的後續形成的導電層224 (如第13圖所繪示且將在下文中討論)。如果W2小於W1的約0.5倍,則後續形成的源極/汲極接觸與導孔接觸部件(via contact feature)之間的接觸面積將減小,因而損害或降低裝置200的整體效能。在一些實施例中,W1為大約15 nm至大約20 nm。因此,蝕刻停止層242的存在擴大了後續形成的導電層224 (作為源極/汲極接觸的一部分)與後續形成於高介電常數金屬閘極結構210上方的相鄰閘極接觸之間的間隔距離,進而對於在形成閘極接觸時發生的任何意外誤差(例如,微影重疊誤差(lithographic overlay error)),提供閘極接觸與源極/汲極接觸之間更大的隔離視窗。
請參照第12A圖及第12B圖,在操作步驟112,方法100對導電層220的頂表面進行清潔製程,以移除可能對導電層220的接觸電阻造成負面影響的任何氧化物層。在一些實施例中,方法100在乾式蝕刻製程中使用合適的蝕刻劑(例如,基於氟的氣體(例如,全氟甲烷(CF4 )、六氟化鎢(WF6 ))、惰性氣體(例如,氬氣(Ar)、氦氣(He))及/或其他合適的氣體)移除位於導電層220的頂表面處的任何原生(native)金屬氧化物層。在一些實施例中,可藉由將蝕刻劑的流速調整為約4 sccm至約200 sccm,將腔室壓力調整為約1 mTorr至約100 mTorr,將電漿的功率調整為約50 W至約250 W,而調節氧化物層的移除;當然,本實施例並不限於這些製程條件。
在一些實施例中,如第12A圖及第12B圖所繪示,方法100繼續進行移除導電層220的頂部部分,同時實質上適當地保留蝕刻停止層242。換句話說,在溝槽240中的蝕刻停止層242的底部部分與導電層220的頂表面之間的間隔距離T3大於0。本揭露並未限制T3的具體尺寸,只要其能夠沒有完全延伸到源極/汲極部件214而使得蝕刻停止層242與源極/汲極部件214接觸,如此將不經意地減小後續形成的導電層224與源極/汲極部件214之間的接觸面積(並且增加接觸電阻)。換句話說,T3小於H1和H2之間的差值(參照第10圖)。在一些實施例中,T3小於約50 nm但大於0。換句話說,在操作步驟112,方法100將溝槽240垂直地朝向基板202延伸且跨過導電層220的寬度W1而橫向地延伸,因而將溝槽240轉換成倒置的T形構造。此倒置的T形構造擴大導電層220與後續形成於其上的導電層(例如,導電層224)之間的接觸面積,因而降低源極/汲極接觸與源極/汲極部件214之間的接觸電阻。
在本實施例中,方法100以與上述關於操作步驟108所描述的製程相似的製程而移除導電層220的頂部部分。簡而言之,方法100以循環的方式進行一系列的氧化與蝕刻製程,使得每一個循環被配置為移除導電層220的一部分。T3的值可由方法100進行的循環次數而決定。值得注意的是,移除導電層220的頂部部分擴大了導電層220與後續形成的導電(或金屬)層之間的接觸區域,而減小了兩者之間的接觸電阻,因而改善了裝置效能。此外,請參照第12B圖,在操作步驟112,在進行清潔製程之後方法100可省略蝕刻製程,使得溝槽240中的蝕刻停止層242的底部部分與導電層220的頂表面接觸。換句話說,T3大約為0。應可理解的是第12A圖及第12B圖所繪示的配置同樣適用於方法100的後續操作。然而,為了使說明更加清楚,在下文中,方法100將依據第12A圖所繪示的實施例進行討論。
請參照第13圖,在操作步驟114,方法100沉積導電層224於裝置200之上,而填充溝槽240。在本實施例中,部分的導電層224沉積於蝕刻停止層242的頂部部分上。導電層224包括鎢(W)、鈷(Co)、釕(Ru)、鉬(Mo)或上述之組合。在一些實施例中,導電層224包括與或上述之242直接接觸的單一材料。在一些實施例中,導電層224包括多層結構。在一些實施例中,導電層224可與導電層220相同,或者替代地,導電層224可與導電層220不同。在一些實施例中,導電層224不含銅。值得注意的是,雖然用於形成導電層220的導電層220的一些實施例可包括如上所述而先形成阻障層,但是,在其他實施例中,導電層224可以直接沉積於導電層220上,而不需要任何阻障層,因而降低了整個製造過程的複雜性及成本。此外,在一些實施例中,導電層224的成分可以被選擇為具有比導電層220更低的電阻,使得源極/汲極接觸(亦即,包括導電層220及224兩者)的總電阻可以被降低。在一些實施例中,使用任何合適的方法選擇性地沉積導電層224於導電層220上,而不沉積於或實質上不沉積於蝕刻停止層242或閘極間隔物212上,合適的方法包括,例如,化學氣相沉積、原子層沉積、電鍍或上述之組合。換句話說,導電層224被配置為先與導電層220化學鍵結及/或成長於其上,然後在其沉積於溝槽240中時化學鍵結及/或成長於其自身之上,使得導電層224以由下而上的成長圖案形成於溝槽240中。在一些實施例中,可藉由在低壓及/或低溫環境中進行沉積製程,以選擇性地形成導電層224,而可以將用於形成導電層224的保溫時間(incubation time)調整為實質上有利於某些表面(例如,在導電層220上)而不是其他表面(例如,在蝕刻停止層242或閘極間隔物212上)。值得注意的是,如果以非選擇性的方式沉積導電層224,則在沉積製程中會形成空隙,進而在源極/汲極接觸中導入缺陷,如此可能會對裝置200的整體效能產生不利的影響。
請參照第14A圖及第14B圖,在操作步驟116,方法100使用,例如,化學機械研磨製程平坦化裝置200,以移除部分的導電層224。請參照第14A圖,方法100從蝕刻停止層242的頂部部分移除導電層224,使得導電層224的頂表面實質上與蝕刻停止層242的頂部部分齊平。此外,請參照第14B圖,方法100移除蝕刻停止層242的頂部部分,使得導電層224的頂部表面實質上與介電層232的頂表面齊平。換句話說,在本實施例中,蝕刻停止層242的頂部部分可視況保留在裝置200中,或是在操作步驟116中藉由平坦化製程將其移除。如本文所述,導電層220及224共同構成源極/汲極接觸228,其中導電層224的至少一部分側壁由蝕刻停止層242所定義。第14A圖及第14B圖同樣適用於本實施例;然而,為了使說明更加清楚,在下文中方法100將依據第14A圖所繪示的實施例進行討論。
請參照第15A圖至第16C圖,在操作步驟118,方法100經由一系列圖案化與沈積製程,分別形成閘極接觸272與導孔接觸274於高介電常數金屬閘極結構210與源極/汲極接觸228之上。請參照第15A圖,在進行圖案化製程之前,方法100先形成蝕刻停止層244於裝置200上,且形成層間介電層250於蝕刻停止層244上。蝕刻停止層244可包括任何合適的材料,例如,含氧材料(例如,氧化矽、碳氧化矽(silicon oxycarbide)、氧化鋁、氮氧化鋁(aluminum oxynitride)、氧化鉿、氧化鈦、氧化鋁鉿(zirconium aluminum oxide)、氧化鋅、氧化鉭、氧化鑭、氧化釔、氧碳氮化矽(silicon oxycarbonitride)等)、含氮材料(例如,碳氮化鉭(tantalum carbonitride)、氮化矽、氮化鋯、碳氮化矽(silicon carbonitride)等)、含矽材料(例如,矽化鉿(hafnium silicide)、矽、矽化鋯(zirconium silicide)等)、其他合適的材料或上述之組合。在本實施例中,蝕刻停止層244的成分不同於蝕刻停止層242、介電層232及閘極間隔物212的成分,以確保在後續的處理步驟期間這些層之間具有足夠的蝕刻選擇性。對於其中蝕刻停止層242的頂部部分保留在裝置200中的實施例(例如,第14A圖中所繪示的實施例),蝕刻停止層244是可視需要而存在的。層間介電層250可相似於層間介電層218,且可藉由相似於上述形成層間介電層218的製程的製程而形成。之後,方法100形成經過圖案化的罩幕元件260於裝置200上,使得形成於經過圖案化的罩幕元件260中的溝槽262暴露出裝置200的一部分。舉例而言,使用經過圖案化的罩幕元件260作為蝕刻罩幕,移除層間介電層250、蝕刻停止層244 (及/或蝕刻停止層242)及介電層232的部分,以形成溝槽262,其暴露出一部分的高介電常數金屬閘極結構210。經過圖案化的罩幕元件260可包括光阻材料(例如,光阻材料),其藉由相似於上述所詳細討論的一系列沉積與微影製程而被形成與圖案化。之後,使用合適的方法,例如,電漿灰化(plasma ashing)或光阻剝離(resist stripping),將經過圖案化的罩幕元件260從裝置200移除。
現在請參照第15A圖至第15C圖,繼續進行方法100,形成罩幕元件264於層間介電層250上,進而填充溝槽262。罩幕元件264可實質上相似於罩幕元件260,且可在如上文所詳細討論的一系列沉積與微影製程中被形成。請參照第15C圖,對罩幕元件264進行圖案化,以暴露位於溝槽266中的源極/汲極接觸228的至少一部分。然後,繼續進行方法100,使用經過圖案化的罩幕元件264作為蝕刻罩幕,而移除部分的層間介電層250及蝕刻停止層244。請參照第15D圖,方法100隨後使用任何合適的方法,例如,電漿灰化或光阻剝離,移除過圖案化的罩幕元件264,以重新打開溝槽262。由於微影圖案化系統在減小的長度等級上製程限制,在一次微影製程中對溝槽262及溝槽266兩者進行圖案化(亦即,形成並且圖案化包括用於溝槽262及溝槽266兩者的開口的單一個罩幕元件),可能是不可行的。然而,應注意的是,本揭露並未限制形成溝槽262與溝槽266的順序,且在一些實施例中,溝槽266的形成是視需要而進行的。
現在請參照第16A圖至第16C圖,繼續進行方法100,藉由使用導電材料270分別填充溝槽262與溝槽266,以形成閘極接觸272與導孔接觸274。導電材料270可包括任何合適的材料,例如,鎢(W)、鈷(Co)、釕(Ru)、銅(Cu)、鉭(Ta)、鈦(Ti)、鋁(Al)、鉬(Mo)、其他合適的導電材料或上述之組合。可藉由任何合適的方法沉積導電材料270,例如,化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、其他合適的方法或上述之組合。在一些實施例中,導電材料270包括直接接觸層間介電層250的單一材料。在一些實施例中,導電材料270包括多層結構。依據一些實施例,導電材料270可相似於導電層220及/或導電層224。方法100隨後可進行化學機械研磨製程以平坦化裝置200並移除任何多餘的導電材料270。
在本實施例中,閘極接觸272與導孔接觸274被配置為使高介電常數金屬閘極結構210與源極/汲極接觸228內連接到其各自的製程後端 (back-end-of-line, BEOL)部件(例如,導孔、導線等)。在一些實施例中,請參照第16A圖,閘極接觸272延伸穿過層間介電層250、介電層232及蝕刻停止層244,而接觸高介電常數金屬閘極結構210。在一些實施例中,請參照第16B圖,閘極接觸272延伸穿過層間介電層250、蝕刻停止層244、蝕刻停止層242及介電層232,而接觸高介電常數金屬閘極結構210。在一些實施例中,請參照第16C圖,蝕刻停止層242的底部部分的側壁與源極/汲極接觸228的導電層220接觸。
值得注意的是,以第16A圖所繪示的實施例為例,閘極接觸272與源極/汲極接觸228之間的間隔距離D包括蝕刻停止層242的底部部分的厚度T2。換句話說,閘極接觸272與源極/汲極接觸228之間的間隔距離被擴大了蝕刻停止層242的底部部分的厚度T2 (亦即,從間隔距離d增加到間隔距離D)。雖然在操作步驟118,在實施微影製程之後通常是將閘極接觸272形成於高介電常數金屬閘極結構210上,但是無意的誤差(例如,微影罩幕的覆蓋覆蓋、微影系統的製程變異等)可能會導致閘極接觸272往相鄰的源極/汲極接觸228橫向位移。在一些實施例中,這種誤差的影響在較小的長度等級會更加嚴重。例如,請參照第16A圖,在不存在蝕刻停止層242的情況下,在閘極接觸272與源極/汲極接觸228之間潛在的短路發生之前,通常可以容忍如此的位移落入隔離視窗(isolation window) S1內。在本實施例中,蝕刻停止層242的存在將隔離視窗從S1擴大到S2,因而提供了更大的容許度,當形成閘極接觸272時可允許任何意外的錯誤。
之後,請再次參照第1圖,在操作步驟120,方法100對裝置200進行額外的製程步驟。舉例而言,方法100可繼續形成蝕刻停止層於裝置200上,且形成層間介電層於蝕刻停止層上,以適應後續形成的其他製程後端部件,例如,導線及/或導孔。
本揭露提供一種形成閘極接觸於高介電常數金屬閘極上的方法,此高介電常數金屬閘極被設置成與半導體裝置(例如,場效電晶體)中的源極/汲極接觸相鄰。在例示性的實施例中,在源極/汲極部件上形成第一金屬層(作為源極/汲極接觸的一部分)之後,部分地移除第一金屬層以形成溝槽,並且隨後在溝槽的側壁上沉積蝕刻停止層。在本實施例中,選擇性地沉積蝕刻停止層於介電部件(例如,閘極間隔物)上,但是不沉積或實質上不沉積於第一金屬層上。在一些實施例中,藉由一系列氧化與蝕刻製程移除第一金屬層的頂部,使得溝槽在蝕刻停止層下方垂直地且橫向地延伸。之後,在溝槽中的第一金屬層上方形成第二金屬層,使得第二金屬層的側壁由蝕刻停止層所定義。第二金屬層可包括與第一金屬層不同的金屬。在一些實施例中,第二金屬層包括被配置為選擇性地沉積在第一金屬層上方的金屬。之後,藉由化學機械研磨製程移除形成於高介電常數金屬閘極上的第二金屬層的一部分,而形成源極/汲極接觸。在一些實施例中,包括第二金屬層的源極/汲極接觸的頂部部分的寬度比包括第一金屬層的源極/汲極接觸的底部部分的寬度窄。然後,形成層間介電層於源極/汲極接觸(包括第一金屬層及第二金屬層)上,且在層間介電層中形成閘極接觸並延伸以接觸高介電常數金屬閘極。在一些實施例中,以至少蝕刻停止層的厚度使閘極接觸與源極/汲極接觸分開,且此蝕刻停止層是形成在閘極間隔物與第二金屬層的側壁之間。
基於以上討論,可了解的是,本揭露提供了優於習知鰭式場效電晶體製造的優點。然而,應當理解,其他實施例可以提供額外的優點,而在此不必公開所有優點,且不需要所有實施例皆具有特定的優點。在一實施例中,由於介電蝕刻停止層插入在源極/汲極接觸與閘極間隔物之間,因此本揭露的實施例在相鄰的閘極接觸與源極/汲極接觸之間提供較大的隔離視窗,進而擴大了用於圖案化與形成具有較小部件尺寸的閘極接觸的製程視窗。在另一個例子中,本揭露的實施例在一系列沉積與蝕刻製程中形成源極/汲極接觸,因而允許包括具有不同特性的導電材料,以增強加工性(processability)及/或裝置效能。
在一實施例中,本揭露提供一種半導體結構,上述半導體結構包括金屬閘極結構(MG)設置於半導體基板上,閘極間隔物設置於上述金屬閘極結構的側壁上,以及閘極接觸設置於上述金屬閘極結構上。上述半導體結構進一步包括源極/汲極(S/D)部件相鄰於上述閘極間隔物,介電層設置於上述閘極間隔物的側壁上,以及源極/汲極接觸設置於上述源極/汲極部件上。特別是,上述源極/汲極接觸包括第一金屬層設置於上述源極/汲極部件上,以及第二金屬層設置於上述第一金屬層上,其中上述第一金屬層的側壁與上述閘極間隔物接觸,且上述第二金屬層的側壁藉由上述介電層而與上述閘極間隔物隔開。
在一些實施例中,在上述半導體結構中,上述第一金屬層包括第一金屬,且上述第二金屬層包括與上述第一金屬不同的第二金屬。
在一些實施例中,在上述半導體結構中,上述第二金屬層包括鎢(W)、釕(Ru)、鈷(Co)或上述之組合。
在一些實施例中,在上述半導體結構中,上述閘極間隔物包括第一介電材料,且上述介電層包括與上述第一介電材料不同的第二介電材料。
在一些實施例中,在上述半導體結構中,上述介電層的底表面藉由上述第二金屬層的一部分而與上述第一金屬層的頂表面隔開。
在另一實施例中,本揭露提供一種半導體結構,上述半導體結構包括金屬閘極結構(MG)設置於半導體基板上,複數個閘極間隔物設置於上述金屬閘極結構的複數個側壁上,以及閘極接觸設置於上述金屬閘極結構上。上述半導體結構進一步包括蝕刻停止層(ESL)設置於上述複數個閘極間隔物上,以及源極/汲極(S/D)接觸相鄰於上述複數個閘極間隔物,其中由上述蝕刻停止層所定義的上述源極/汲極接觸的頂部部分比由上述複數個閘極間隔物所定義的上述源極/汲極接觸的底部部分窄。
在一些實施例中,在上述半導體結構中,更包括介電層,設置於上述金屬閘極結構上,以使上述閘極接觸延伸穿過上述介電層而接觸上述金屬閘極結構,其中上述介電層的一部分將上述金屬閘極結構與上述蝕刻停止層隔開。
在一些實施例中,在上述半導體結構中,上述蝕刻停止層的複數個部分設置於述介電層的上表面上。
在一些實施例中,在上述半導體結構中,上述蝕刻停止層是第一蝕刻停止層,上述半導體結構更包括第二蝕刻停止層設置於上述第一蝕刻停止層的頂表面上。
在一些實施例中,在上述半導體結構中,上述源極/汲極接觸的上述頂部部分的成分不同於上述源極/汲極接觸的上述底部部分的成分。
在一些實施例中,在上述半導體結構中,上述源極/汲極接觸的上述頂部部分不含銅。
在又一實施例中,本揭露提供一種形成半導體裝置的方法,上述方法包括提供半導體結構,上述半導體結構具有金屬閘極結構(MG),複數個閘極間隔物設置於上述金屬閘極結構的側壁上,以及源極/汲極(S/D)部件設相鄰於上述複數個閘極間隔物;形成第一金屬層於上述源極/汲極部件上且位於上述複數個閘極間隔物之間;以及凹陷化上述第一金屬層以形成溝槽。上述方法進一步包括形成介電層於上述溝槽的複數個側壁上;以及形成第二金屬層於上述溝槽中的上述第一金屬層上,其中上述第二金屬層的複數個側壁是由上述介電層所定義。上述方法進一步包括形成層間介電層於上述第二金屬層上,以及之後形成接觸部件於上述層間介電層中,以接觸上述金屬閘極結構。
在一些實施例中,在上述形成半導體裝置的方法中,上述溝槽是第一溝槽且上述介電層是第一介電層,其中上述方法更包括在形成上述第一金屬層之前,凹陷化上述金屬閘極結構的一部分,以形成第二溝槽且沉積第二介電層於上述金屬閘極結構上,其中上述第二介電層與上述第一介電層在成分上彼此不同。
在一些實施例中,在上述形成半導體裝置的方法中,凹陷化上述第一金屬層包括氧化上述第一金屬層的頂部部分,以及隨後利用蝕刻劑移除經過氧化的上述頂部部分,其中上述蝕刻劑包括經過稀釋的氫氟酸、經過稀釋的臭氧或上述之組合。
在一些實施例中,在上述形成半導體裝置的方法中,形成上述介電層包括選擇性地沉積介電材料於上述複數個閘極間隔物上但並不形成於上述第一金屬層上,且其中上述介電材料的成分不同於上述複數個閘極間隔物的成分。
在一些實施例中,在上述形成半導體裝置的方法中,更包括在形成上述介電層之後,對上述第一金屬層的頂部部分進行清潔製程,其中進行上述清潔製程包括氧化上述第一金屬層的上述頂部部分,以及隨後藉由濕式蝕刻製程移除經過氧化的上述第一金屬層的上述頂部部分。
在一些實施例中,在上述形成半導體裝置的方法中,更包括在進行上述清潔製程之後,移除上述第一金屬層的頂部部分,使得上述溝槽垂直地朝向上述源極/汲極部件延伸。
在一些實施例中,在上述形成半導體裝置的方法中,形成上述第一金屬層包括沉積第一金屬,且其中形成上述第二金屬層包括沉積與上述第一金屬不同的第二金屬。
在一些實施例中,在上述形成半導體裝置的方法中,形成上述第二金屬層包括選擇性地沉積金屬於上述第一金屬層上。
在一些實施例中,在上述形成半導體裝置的方法中,更包括在形成上述第二金屬層之後,進行化學機械研磨製程,以移除形成於上述金屬閘極結構上的上述介電層的複數個部分。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100:方法 102:操作步驟 104:操作步驟 106:操作步驟 108:操作步驟 110:操作步驟 112:操作步驟 114:操作步驟 116:操作步驟 118:操作步驟 120:操作步驟 200:裝置 202:基板 204:三維主動區域(鰭片) 208:隔離結構 210:高介電常數金屬閘極結構 212:閘極間隔物 214:源極/汲極部件 218:層間介電層 220:導電層 224:導電層 228:源極/汲極接觸 230:溝槽 232:介電層 234:溝槽 240:溝槽 242:蝕刻停止層 244:蝕刻停止層 250:層間介電層 260:罩幕元件 262:溝槽 264:罩幕元件 266:溝槽 270:導電材料 272:閘極接觸 274:導孔接觸 d:間隔距離 D:間隔距離 H1:高度 H2:高度 S1:隔離視窗 S2:隔離視窗 T1:厚度 T2:厚度 W1:寬度 W2:寬度
依據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,依據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖是依據本揭露之一些實施例之製造半導體裝置的方法的流程圖。 第2圖是依據本揭露之一些實施例之半導體裝置的一實施例的透視立體示意圖。 第3、4、5、6、7、8、9、10、11、12A、12B、13、14A、14B、15A、15B、15C、15D、16A、16B及16C圖是依據本揭露之一些實施例之第2圖之半導體裝置的一實施例沿著剖線AA’在第1圖之方法的一實施例的各個中間步驟的剖面示意圖。
200:裝置
202:基板
204:三維主動區域(鰭片)
210:高介電常數金屬閘極結構
212:閘極間隔物
214:源極/汲極部件
220:導電層
224:導電層
228:源極/汲極接觸
232:介電層
242:蝕刻停止層
244:蝕刻停止層
250:層間介電層
270:導電材料
272:閘極接觸
274:導孔接觸
d:間隔距離
D:間隔距離
S1:隔離視窗
S2:隔離視窗
T2:厚度

Claims (1)

  1. 一種半導體結構,包括: 一金屬閘極結構,設置於一半導體基板上; 一閘極間隔物,設置於該金屬閘極結構的一側壁上; 一閘極接觸,設置於該金屬閘極結構上; 一源極/汲極部件,相鄰於該閘極間隔物; 一介電層,設置於該閘極間隔物的一側壁上;以及 一源極/汲極接觸,設置於該源極/汲極部件上,其中該源極/汲極接觸包括一第一金屬層設置於該源極/汲極部件上,以及一第二金屬層設置於該第一金屬層上,其中該第一金屬層的一側壁接觸該閘極間隔物,且其中該第二金屬層的一側壁藉由該介電層而與該閘極間隔物隔開。
TW109125034A 2019-09-26 2020-07-24 半導體結構 TW202127617A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/583,697 US11621224B2 (en) 2019-09-26 2019-09-26 Contact features and methods of fabricating the same in semiconductor devices
US16/583,697 2019-09-26

Publications (1)

Publication Number Publication Date
TW202127617A true TW202127617A (zh) 2021-07-16

Family

ID=75040878

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109125034A TW202127617A (zh) 2019-09-26 2020-07-24 半導體結構

Country Status (3)

Country Link
US (3) US11621224B2 (zh)
CN (1) CN112563328A (zh)
TW (1) TW202127617A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11621224B2 (en) * 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US20210391438A1 (en) * 2020-06-15 2021-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure Having a Multi-Deck Conductive Feature and Method of Forming the Same
US11955382B2 (en) * 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
US20220231137A1 (en) * 2021-01-19 2022-07-21 Applied Materials, Inc. Metal cap for contact resistance reduction

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9831090B2 (en) * 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
TWI662599B (zh) * 2015-09-01 2019-06-11 聯華電子股份有限公司 半導體裝置及其製作方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10163704B2 (en) * 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11621224B2 (en) * 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices

Also Published As

Publication number Publication date
US11621224B2 (en) 2023-04-04
US20230238319A1 (en) 2023-07-27
US20220367344A1 (en) 2022-11-17
US12021025B2 (en) 2024-06-25
US20210098364A1 (en) 2021-04-01
CN112563328A (zh) 2021-03-26

Similar Documents

Publication Publication Date Title
US11410877B2 (en) Source/drain contact spacers and methods of forming same
US11004747B2 (en) Fin critical dimension loading optimization
TWI724456B (zh) 積體電路裝置及其形成方法
US12009263B2 (en) Methods of reducing parasitic capacitance in semiconductor devices
KR101747530B1 (ko) FinFET을 위한 방법 및 구조물
KR20190058329A (ko) 게이트 유전체 보존 게이트 컷 프로세스
US12021025B2 (en) Contact features and methods of fabricating the same in semiconductor devices
US20210384352A1 (en) Methods of Forming Contact Features in Field-Effect Transistors
TW202243032A (zh) 半導體結構及其形成方法
CN110828573A (zh) 形成半导体装置的方法
CN110875252A (zh) 半导体器件和制造半导体器件的方法
CN113380888B (zh) 半导体结构及其制造方法
TW202133323A (zh) 半導體結構
CN112420600A (zh) 互连结构的形成方法
US11018011B2 (en) Methods of forming contact features in semiconductor devices
TWI792234B (zh) 半導體結構及其形成方法
US11855161B2 (en) Semiconductor device contact structures and methods of fabricating thereof
TWI809404B (zh) 微影圖案化的方法與製造半導體裝置的方法
TW202240709A (zh) 形成半導體結構的方法
CN116632048A (zh) 底部介电隔离及其在场效应晶体管中的形成方法