US20220231137A1 - Metal cap for contact resistance reduction - Google Patents

Metal cap for contact resistance reduction Download PDF

Info

Publication number
US20220231137A1
US20220231137A1 US17/152,190 US202117152190A US2022231137A1 US 20220231137 A1 US20220231137 A1 US 20220231137A1 US 202117152190 A US202117152190 A US 202117152190A US 2022231137 A1 US2022231137 A1 US 2022231137A1
Authority
US
United States
Prior art keywords
metal
layer
silicide
metal cap
cap layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US17/152,190
Inventor
Bencherki Mebarki
Joung Joo Lee
Wenting Hou
Takashi KURATOMI
Avgerinos V. Gelatos
Jianxin Lei
Liqi Wu
Raymond Hoiman Hung
Tae Hong Ha
Xianmin Tang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US17/152,190 priority Critical patent/US20220231137A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GELATOS, AVGERINOS V., HA, TAE HONG, HOU, WENTING, HUNG, RAYMOND HOIMAN, KURATOMI, Takashi, LEE, JOUNG JOO, LEI, JIANXIN, MEBARKI, BENCHERKI, TANG, XIANMIN, WU, LIQI
Priority to EP21921593.6A priority patent/EP4282005A1/en
Priority to PCT/US2021/054650 priority patent/WO2022159152A1/en
Priority to KR1020237027895A priority patent/KR20230129552A/en
Priority to CN202180090981.1A priority patent/CN116711082A/en
Priority to TW110138831A priority patent/TW202230805A/en
Publication of US20220231137A1 publication Critical patent/US20220231137A1/en
Priority to US18/379,600 priority patent/US20240038859A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Definitions

  • Embodiments of the present disclosure generally relate to transistors and methods for forming transistors.
  • transistor contacts for example source/drain contacts, have reduced resistance.
  • Integrated circuits have evolved into complex devices that can include millions of transistors, capacitors, and resistors on a single chip.
  • functional density i.e., the number of interconnected devices per chip area
  • geometry size i.e., the smallest component (or line) that can be created using a fabrication process
  • Microelectronic devices are fabricated on a semiconductor substrate as integrated circuits in which various conductive layers are interconnected with one another to permit electronic signals to propagate within the device.
  • An example of such a device is a complementary metal-oxide-semiconductor (CMOS) field effect transistor (FET) or MOSFET, including both planar and three-dimensional structures.
  • CMOS complementary metal-oxide-semiconductor
  • MOSFET MOSFET
  • FinFETs are characterized by a fin-shaped channel region that greatly increases the size of the transistor without significantly increasing the footprint of the transistor.
  • An exemplary finFET or MOSFET includes a gate electrode on a gate dielectric layer on a surface of a semiconductor substrate. Source/drain regions are provided along opposite sides of the gate electrode. The source and drain regions are generally heavily doped regions of the semiconductor substrate.
  • a capped silicide layer for example, titanium silicide capped by titanium nitride, is used to couple contacts, e.g., active and/or metal contacts, to the source and drain regions.
  • contacts e.g., active and/or metal contacts
  • a liner material e.g., titanium nitride
  • CMP chemical-mechanical planarization
  • One or more embodiments are directed to a contact stack of a semiconductor device, which comprises: a source/drain region; a metal silicide layer above the source/drain region; a metal cap layer in direct contact with the metal silicide layer; and a conductor in contact with the metal cap layer.
  • Additional embodiments are directed to a semiconductor device comprising: a contact stack on the substrate, a dielectric layer adjacent to the contact stack, and a metal gate adjacent to the dielectric layer.
  • the contact stack comprises: a source/drain region comprising: silicon, germanium, silicon-germanium, or a group III/V compound semiconductor; a metal silicide layer on the source/drain region, the metal silicide layer comprising: titanium silicide, cobalt silicide, ruthenium silicide, nickel silicide, molybdenum silicide, or alloys thereof; a metal cap layer directly on the metal silicide layer, the metal cap layer comprising: tungsten, ruthenium, molybdenum, or alloys thereof; and a conductor on the metal cap layer.
  • FIG. 1 is a cross-sectional view of a contact stack in accordance with one or more embodiments
  • FIG. 2 is a cross-sectional view of a semiconductor device in accordance with one or more embodiments
  • FIG. 3A is a flowchart of a method for forming a contact stack according to FIG. 1 in accordance with one or more embodiments;
  • FIG. 3B is a flowchart of a method for forming a contact stack according to one or more embodiments
  • FIGS. 4A-4H illustrate various views of a stack during different stages of the method of FIG. 3B ;
  • FIG. 5 is a cluster tool accordance with one or more embodiments.
  • substrate refers to a surface, or portion of a surface, upon which a process acts. It will also be understood by those skilled in the art that reference to a substrate can also refer to only a portion of the substrate, unless the context clearly indicates otherwise. Additionally, reference to depositing on a substrate can mean both a bare substrate and a substrate with one or more films or features deposited or formed thereon.
  • a “substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process.
  • a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application.
  • Substrates include, without limitation, semiconductor wafers.
  • Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure and/or bake the substrate surface.
  • any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such underlayer as the context indicates.
  • the exposed surface of the newly deposited film/layer becomes the substrate surface.
  • FinFET field-effect transistor
  • FinFET devices have been given the generic name FinFETs because the channel region forms a “fin” on the substrate. FinFET devices have fast switching times and high current density.
  • consists essentially of with respect to composition of a layer means that the stated elements compose greater than 95%, greater than 98%, greater than 99% or greater than 99.5% of the stated material on an atomic basis.
  • a TiSi material contains titanium and silicon. These elements may or may not be present at a 1:1 ratio.
  • Embodiments herein relate to contact stacks, semiconductor devices, and methods of making the same, which advantageously offer reduced resistance in transistor contacts. Resistance is reduced by eliminating nitrogen-based layers, e.g., a nitride cap layer and/or a nitride liner layer. Use of a metal-based cap layer on top of a silicide layer at contact areas, e.g., source and drain, eliminates the use of a nitrogen-based barrier film between silicide and conductor, e.g., plug metal. Contact resistance is advantageously reduced by direct contact between the silicide and plug metal, e.g., direct contact of silicide with different low resistivity metals (W, Ru, Mo, . . . ). The metal-based cap layer advantageously inhibits silicide from alteration by process chemicals (O 2 , F, Cl 2 , and the like).
  • process chemicals O 2 , F, Cl 2 , and the like.
  • Contact resistance provides a measure of the opposition to electric current flow due to contacting interfaces.
  • a nitride-based cap according to prior art contributed upwards of 25% of the stack's contact resistance.
  • a nitride-based cap TiN
  • a metal cap W
  • Metal cap layers herein are effective to inhibit and/or eliminate diffusion of undesirable elements into and/or silicon out of the underlying metal silicide layer.
  • a tungsten metal cap layer e.g., having a thickness of about 20 to 30 Angstroms, is effective to inhibit and/or eliminate diffusion of one or more of: oxygen, argon, fluorine, silicon.
  • Processes according to one or more embodiments eliminate an air break, which facilitates the removal of a nitrogen-based cap layer.
  • Deposition of a metal cap layer is done using low energy physical vapor deposition (PVD) technology, which replaces the use of chemical vapor deposition (CVD) and eliminates the need for a nitrogen-based liner for CVD nucleation.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • Low energy PVD technology also advantageously reduces potential for damage to the metal silicide layer.
  • devices and methods of formation these devices are particularly useful in forming FinFET devices and will be described in that context.
  • Other devices and applications are also within the scope of the invention.
  • FIG. 1 illustrates a cross-sectional view of an exemplary contact stack 101 suitable for a semiconductor device.
  • Stack 101 comprises a source/drain region 110 .
  • the source/drain region 110 comprises silicon, germanium, silicon-germanium, or a group III/V compound semiconductor.
  • a metal silicide layer 120 Above the source/drain region 110 is a metal silicide layer 120 .
  • the metal silicide layer 120 comprises: titanium silicide, cobalt silicide, or ruthenium silicide.
  • In direct contact with the metal silicide layer 120 is a metal cap layer 130 .
  • the contact stack 101 excludes a metal nitride layer in direct contact with the metal silicide layer.
  • the entire contact stack 101 excludes a metal nitride layer.
  • the metal cap comprises: tungsten, ruthenium, molybdenum, or alloys thereof.
  • a conductor 140 Above the metal cap layer is a conductor 140 .
  • the metal cap layer is in contact with conductor 140 .
  • the conductor 140 may comprise a combination of layers to provide an active contact and/or a metal contact.
  • the conductor 140 comprises a metal selected from the group consisting of: tungsten, ruthenium, and cobalt.
  • the metal silicide layer 120 comprises or consists essentially of TiSi. In some embodiments, the metal cap layer 130 comprises or consists essentially of tungsten (W).
  • FIG. 2 illustrates a cross-sectional view of a semiconductor device 200 comprising: a substrate 205 , a contact stack 201 on the substrate 205 , a dielectric layer 250 , and a metal gate 260 .
  • the contact stack 201 comprises: a source/drain region 210 , a metal silicide layer 220 on the source/drain region 210 , a metal cap layer 230 in direct contact with the metal silicide layer 220 , and a conductor 240 above the metal cap layer 230 .
  • the conductor 240 is in contact with the metal cap layer 230 .
  • the conductor 240 is in direct contact with the metal cap layer 230 .
  • the source/drain region 210 is formed on the substrate 205 .
  • a source/drain region may be integral to and/or extend from a body of the substrate.
  • the source/drain region 210 comprises silicon, germanium, silicon-germanium, or a group III/V compound semiconductor.
  • the metal silicide layer 220 comprises: titanium silicide, cobalt silicide, ruthenium silicide, nickel silicide, molybdenum silicide, or alloys thereof.
  • the contact stack 201 excludes a metal nitride layer on the metal silicide layer. In one or more embodiments, the entire contact stack 201 excludes a metal nitride layer.
  • the metal cap layer 230 comprises: tungsten, ruthenium, molybdenum, or alloys thereof.
  • the conductor 240 may comprise a combination of layers to provide an active contact and/or a metal contact. In one or more embodiments, the conductor 240 comprises a metal selected from the group consisting of: tungsten, ruthenium, and cobalt.
  • the dielectric layer 250 insulates the contact stack 201 from the metal gate 260 . In one or more embodiments, the dielectric layer directly contacts the contact stack. In one or more embodiments, the semiconductor device 200 excludes a metal nitride layer between the contact stack 201 and the dielectric layer 250 . In one or more embodiments, the entire semiconductor device 200 excludes a metal nitride layer. In one or more embodiments, the dielectric layer 250 comprises a dielectric material, such as an oxide or a nitride, for example: SiOx (e.g., SiO 2 ), SiN, SiCN, or other suitable dielectric material.
  • the metal silicide layer 220 comprises or consists essentially of TiSi. In some embodiments, the metal cap layer 230 comprises or consists essentially of tungsten (W).
  • the metal silicide layer has a thickness of greater than or equal to 20 ⁇ to less than or equal to 60 ⁇ , and all values and subranges therebetween. In some embodiments, the metal silicide layer has a thickness of about 40 ⁇ , which includes 40 ⁇ 10%. In one or more embodiments, the metal silicide layer is a selectively deposited layer. In one or more embodiments, the metal silicide layer is a selective layer of TiSi.
  • the metal cap layer has a thickness of greater than or equal to 10 ⁇ to less than or equal to 50 ⁇ , and all values and subranges therebetween. In some embodiments, the metal cap layer has a thickness of about 30 ⁇ , which includes 30 ⁇ 10%. In one or more embodiments, the metal cap layer is deposited by a PVD process.
  • a general embodiment relates to a method 300 of manufacturing a contact stack of a semiconductor device.
  • the method 300 starts at operation 310 by depositing a metal silicide layer in a feature of a substrate.
  • a metal cap layer is prepared directly on the metal silicide layer in the absence of an air break.
  • operation 310 is conducted in a first chamber that is integrated with a second chamber where operation 320 is conducted.
  • operation 330 a conductor is deposited on the metal cap layer.
  • operation 330 is conducted in a third chamber.
  • the method comprises: depositing a metal silicide layer in the feature of a substrate in a first processing chamber; moving the substrate to a second processing chamber that is integrated with the first processing chamber such that there is not an air break between the first and second processing chambers; preparing a metal cap layer directly on the metal silicide layer; and depositing a conductor on the metal cap layer.
  • FIGS. 3B to 4A-4H another embodiment relates to a method 350 of manufacturing a contact stack of a semiconductor device 400 .
  • the method 350 starts at operation 360 by depositing a metal silicide layer 420 in a feature 402 of a substrate 405 as shown in FIG. 4A .
  • the feature 402 comprises a source/drain region 410 as a bottom wall 402 b , and sidewalls 402 s comprising a dielectric material 450 .
  • the feature 402 may be formed by methods known in the art.
  • the feature 402 may be a trench prepared by etching a dielectric layer to reach a source/drain region and there after by a pre-cleaning process (e.g., wet etch and/or dry etch) to remove contaminants.
  • the wet etch process may utilize ammonia or hydrogen fluoride solution.
  • the dry etch process may be a plasma etch process and may utilize a fluorine or hydrogen containing etchant.
  • the pre-clean process would not substantially remove any portion of the source/drain region.
  • source/drain region is a source region or a drain region or a merged source and drain region.
  • the source/drain region 410 is fabricated from a semiconductor material that is grown epitaxially on one or more surfaces of the substrate 405 .
  • titanium precursors can include, but are not limited to TiCl 4 , TiBr 4 , Til 4 , TiF 4 , tetrakisdimethylamino titanium;
  • the order in which the substrate is exposed to the precursors can be varied.
  • the exposures may repeat in a deposition cycle. Further, exposure to a precursor may be repeated within a single deposition cycle.
  • a metal cap material 432 is deposited directly on the metal silicide layer 420 , as shown in FIG. 4B , in the absence of an air break.
  • operations 360 and 370 are conducted in different processing chambers that are integrated. As such, transfer between the chambers is performed without breaking vacuum and/or without exposure to ambient air.
  • An exemplary process for depositing the metal cap material directly on the metal silicide layer is by a physical vapor deposition (PVD) process.
  • depositing the metal cap material directly on the metal silicide layer is conducted in (PVD) process chamber.
  • the conditions of the PVD process chamber are low energy.
  • the PVD process chamber is a RF-PVD process chamber.
  • bias is in a range of 0 W to 400 W, including all values and subranges therebetween.
  • direct current is in a range of 0 W to 500 W.
  • radio frequency is in range of 1 kHz to 10 kHz.
  • the PVD chamber has conditions of: a chamber temperature of 350° C. to 450° C.; a chamber pressure of 120 mT ⁇ 50 mT; a bias in a range of 0 W to 200 W; a direct current (DC) in a range of 0 W to 500 W; and a radio frequency (RF) in a range of 1 kHz to 10 kHz.
  • the PVD chamber has conditions of: a chamber temperature of 400° C. ⁇ 50° C.; a chamber pressure of 120 mT ⁇ 50 mT; a bias in a range of 0 W to 200 W; a direct current (DC) of 500 W ⁇ 50 W; and a radio frequency (RF) of 3 kHz ⁇ 1 kHz.
  • the PVD process is a plasma-enhanced PVD.
  • the plasma-enhanced PVD includes a pulsed radio frequency (RF) plasma.
  • deposition of the metal cap material 432 is by bottom fill as shown in FIG. 4B , which requires further processing to prepare a metal cap layer 430 at operation 380 prior to deposition of a conductor at operation 390 .
  • any suitable metal cap precursor can be used for the metal cap material and/or metal cap layer.
  • Operation 380 to prepare a metal cap layer includes FIGS. 4C-4G .
  • a material 434 which may be a spin-on or gap-fill material, is deposited over the entirety of the device 400 .
  • the material 434 is a spin-on material, which is a carbon-based material.
  • the material 434 is a CVD gap-fill material, which is a dielectic material.
  • FIG. 4D depicts etching of at least a portion of the material 434 .
  • etching may be conducted by a dry etch process, which may utilize a plasma etch process and may utilize a hydrogen or nitrogen or oxygen containing etchant.
  • etching may be conducted by a dry etch process, which may utilze oxidizing exposed tungsten followed by WF 6 .
  • a chemical mechanical polishing (CMP) of at least a portion of the material and the metal cap layer above the dielectric material may be applied followed by an etching of at least a portion of the material 434 in the trench.
  • CMP chemical mechanical polishing
  • the metal cap material 432 is etched to remove the metal cap material 432 from a portion of the sidewalls 402 s above the material 434 and the top surfaces of the dielectric material 450 .
  • the exposed metal cap material 432 is etched (e.g., wet etch and/or dry etch) to form a metal cap layer 430 .
  • An etching with oxygen-, fluorine-, or chlorine-based gas may be conducted, for example.
  • a conductor 440 is deposited on the metal cap layer 430 .
  • the conductor 440 is fabricated from an electrically conductive material, such as a metal.
  • the conductor comprises a metal selected from the group consisting of: tungsten, ruthenium, and cobalt.
  • a pre-clean operation conducted prior to deposition of the conductor 440 there is a pre-clean operation conducted.
  • the pre-clean operation prior to deposition of the conductor comprises a plasma treatment, e.g., hydrogen plasma.
  • the conductor is deposited by a selective deposition method. In one or more embodiments, the conductor is deposited by a CVD process and/or a PVD process.
  • precursors of a tungsten conductor can include, but are not limited to WCl 6 , WBr 6 , Wl 6 , WF 6 .
  • methods of this disclosure can be performed in the same chamber or in one or more separate processing chambers.
  • the substrate is moved from the first chamber to a separate, second chamber for further processing.
  • the substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber.
  • a suitable processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a “cluster tool” or “clustered system,” and the like.
  • a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, annealing, deposition and/or etching.
  • a cluster tool includes at least a first chamber and a central transfer chamber.
  • the central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers.
  • the transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool.
  • Two well-known cluster tools which may be adapted for the present disclosure are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif.
  • processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, anneal, orientation, hydroxylation and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean
  • thermal treatment such as RTP, plasma nitridation, anneal, orientation, hydroxylation and other substrate processes.
  • the first processing chamber and the second processing chamber are part of the same, clustered, processing tool. Accordingly, in some embodiments, the method is an in-situ integrated method.
  • the first processing chamber and the second processing chamber are different processing tools. Accordingly, in some embodiments, the method is an ex-situ integrated method.
  • the substrate is continuously under vacuum or “load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next.
  • the transfer chambers are thus under vacuum and are “pumped down” under vacuum pressure.
  • Inert gases may be present in the processing chambers or the transfer chambers.
  • an inert gas is used as a purge gas to remove some or all of the reactants.
  • a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
  • the substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed.
  • the substrate can also be processed in a continuous manner, similar to a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber.
  • the shape of the chamber and associated conveyer system can form a straight path or curved path.
  • the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, and/or cleaning processes throughout the carousel path.
  • the substrate can also be stationary or rotated during processing.
  • a rotating substrate can be rotated continuously or in discreet steps.
  • a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases.
  • Rotating the substrate during processing may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.
  • FIG. 5 illustrates a system 900 that can be used to process a substrate according to one or more embodiment of the disclosure.
  • the system 900 can be referred to as a cluster tool.
  • the system 900 includes a central transfer station 910 with a robot 912 therein.
  • the robot 912 is illustrated as a single blade robot; however, those skilled in the art will recognize that other robot 912 configurations are within the scope of the disclosure.
  • the robot 912 is configured to move one or more substrate between chambers connected to the central transfer station 910 .
  • At least one pre-clean/buffer chamber 920 is connected to the central transfer station 910 .
  • the pre-clean/buffer chamber 920 can include one or more of a heater, a radical source or plasma source.
  • the pre-clean/buffer chamber 920 can be used as a holding area for an individual semiconductor substrate or for a cassette of wafers for processing.
  • the pre-clean/buffer chamber 920 can perform pre-cleaning processes or can pre-heat the substrate for processing or can simply be a staging area for the process sequence. In some embodiments, there are two pre-clean/buffer chambers 920 connected to the central transfer station 910 .
  • the pre-clean chambers 920 can act as pass through chambers between the factory interface 905 and the central transfer station 910 .
  • the factory interface 905 can include one or more robot 906 to move substrate from a cassette to the pre-clean/buffer chamber 920 .
  • the robot 912 can then move the substrate from the pre-clean/buffer chamber 920 to other chambers within the system 900 .
  • a first processing chamber 930 can be connected to the central transfer station 910 .
  • the first processing chamber 930 can be configured as an epitaxy chamber for (selectively) depositing a metal silicide layer and may be in fluid communication with one or more reactive gas sources to provide one or more flows of reactive gases to the first processing chamber 930 .
  • the substrate can be moved to and from the processing chamber 930 by the robot 912 passing through isolation valve 914 .
  • Processing chamber 940 can also be connected to the central transfer station 910 .
  • processing chamber 940 comprises physical vapor deposition (PVD) chamber for depositing a metal cap material and/or layer and is fluid communication with one or more reactive gas sources to provide flows of reactive gas to the processing chamber 940 .
  • processing chamber 940 is an RF-PVD chamber.
  • the substrate can be moved to and from the processing chamber 940 by robot 912 passing through isolation valve 914 .
  • processing chamber 960 is connected to the central transfer station 910 and is configured to act as a conductor deposition chamber.
  • the processing chamber 960 can be configured to perform one or more different selective deposition (e.g., CVD or PVD) processes.
  • each of the processing chambers 930 , 940 , and 960 are configured to perform different portions of the processing method.
  • processing chamber 930 may be configured to perform the metal silicide layer deposition process
  • processing chamber 940 may be configured to perform the metal cap material and/or layer deposition process
  • processing chamber 960 may be configured to perform a conductor deposition process.
  • the skilled artisan will recognize that the number and arrangement of individual processing chamber on the tool can be varied and that the embodiment illustrated in FIG. 5 is merely representative of one possible configuration.
  • the processing system 900 includes one or more metrology stations.
  • metrology stations can be located within pre-clean/buffer chamber 920 , within the central transfer station 910 or within any of the individual processing chambers.
  • the metrology station can be any position within the system 900 that allows the distance of the recess to be measured without exposing the substrate to an oxidizing environment.
  • At least one controller 950 is coupled to one or more of the central transfer station 910 , the pre-clean/buffer chamber 920 , processing chambers 930 , 940 , or 960 . In some embodiments, there are more than one controller 950 connected to the individual chambers or stations and a primary control processor is coupled to each of the separate processors to control the system 900 .
  • the controller 950 may be one of any form of general-purpose computer processor, microcontroller, microprocessor, etc., that can be used in an industrial setting for controlling various chambers and sub-processors.
  • the at least one controller 950 can have a processor 952 , a memory 954 coupled to the processor 952 , input/output devices 956 coupled to the processor 952 , and support circuits 958 to communication between the different electronic components.
  • the memory 954 can include one or more of transitory memory (e.g., random access memory) and non-transitory memory (e.g., storage).
  • the memory 954 or computer-readable medium, of the processor may be one or more of readily available memory such as random access memory (RAM), read-only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • RAM random access memory
  • ROM read-only memory
  • the memory 954 can retain an instruction set that is operable by the processor 952 to control parameters and components of the system 900 .
  • the support circuits 958 are coupled to the processor 952 for supporting the processor in a conventional manner. Circuits may include, for example, cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • Processes may generally be stored in the memory as a software routine that, when executed by the processor, causes the process chamber to perform processes of the present disclosure.
  • the software routine may also be stored and/or executed by a second processor (not shown) that is remotely located from the hardware being controlled by the processor. Some or all of the method of the present disclosure may also be performed in hardware.
  • the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware.
  • the software routine when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • the controller 950 has one or more configurations to execute individual processes or sub-processes to perform the method.
  • the controller 950 can be connected to and configured to operate intermediate components to perform the functions of the methods.
  • the controller 950 can be connected to and configured to control one or more of gas valves, actuators, motors, slit valves, vacuum control, etc.
  • the controller 950 of some embodiments has one or more configurations selected from: a configuration to move a substrate on the robot between the plurality of processing chambers and metrology station; a configuration to load and/or unload substrates from the system; a configuration to deposit a metal silicide layer, which in one or more embodiments comprises TiSi; a configuration to deposit a metal cap layer, which in one or more embodiments comprises W, directly on the metal silicide layer; and/or a configuration to deposit a conductor, which in one or more embodiments comprises W.

Abstract

A contact stack of a semiconductor device comprises: a source/drain region; a metal silicide layer above the source/drain region; a metal cap layer directly on the metal silicide layer; and a conductor on the metal cap layer. A method comprises: depositing a metal silicide layer in a feature of a substrate; in the absence of an air break after the depositing of the metal silicide layer, preparing a metal cap layer directly on the metal silicide layer; and depositing a conductor on the metal cap layer.

Description

    TECHNICAL FIELD
  • Embodiments of the present disclosure generally relate to transistors and methods for forming transistors. In particular, transistor contacts, for example source/drain contacts, have reduced resistance.
  • BACKGROUND
  • Integrated circuits have evolved into complex devices that can include millions of transistors, capacitors, and resistors on a single chip. In the course of integrated circuit evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased.
  • Microelectronic devices are fabricated on a semiconductor substrate as integrated circuits in which various conductive layers are interconnected with one another to permit electronic signals to propagate within the device. An example of such a device is a complementary metal-oxide-semiconductor (CMOS) field effect transistor (FET) or MOSFET, including both planar and three-dimensional structures. An example of a three-dimensional structure is a FinFET device.
  • Drive current, and therefore speed, of a transistor is proportional to a gate width of the transistor. Faster transistors generally require larger gate width. There is a trade-off between transistor size and speed, and “fin” field-effect transistors (finFETs) have been developed to address the conflicting goals of a transistor having maximum drive current and minimum size. FinFETs are characterized by a fin-shaped channel region that greatly increases the size of the transistor without significantly increasing the footprint of the transistor.
  • An exemplary finFET or MOSFET includes a gate electrode on a gate dielectric layer on a surface of a semiconductor substrate. Source/drain regions are provided along opposite sides of the gate electrode. The source and drain regions are generally heavily doped regions of the semiconductor substrate. Usually a capped silicide layer, for example, titanium silicide capped by titanium nitride, is used to couple contacts, e.g., active and/or metal contacts, to the source and drain regions. Including a nitrogen-containing capping layer, however, can undesirably contribute to contact resistance.
  • Further, during middle-of-line (MOL) processes, a minimum via resistance for the MOL structures are targeted. A liner material (e.g., titanium nitride) is often required to improve adhesion of metals to dielectric materials to pass post-processing steps such as chemical-mechanical planarization (CMP) and to enhance CVD nucleation. However, the presence of the liner adds to the via resistance.
  • Therefore, there is a need in the art for transistors and MOL applications with decreased resistance.
  • SUMMARY
  • One or more embodiments are directed to a contact stack of a semiconductor device, which comprises: a source/drain region; a metal silicide layer above the source/drain region; a metal cap layer in direct contact with the metal silicide layer; and a conductor in contact with the metal cap layer.
  • Additional embodiments are directed to a semiconductor device comprising: a contact stack on the substrate, a dielectric layer adjacent to the contact stack, and a metal gate adjacent to the dielectric layer. The contact stack comprises: a source/drain region comprising: silicon, germanium, silicon-germanium, or a group III/V compound semiconductor; a metal silicide layer on the source/drain region, the metal silicide layer comprising: titanium silicide, cobalt silicide, ruthenium silicide, nickel silicide, molybdenum silicide, or alloys thereof; a metal cap layer directly on the metal silicide layer, the metal cap layer comprising: tungsten, ruthenium, molybdenum, or alloys thereof; and a conductor on the metal cap layer.
  • Further embodiments are directed to a method comprising: depositing a metal silicide layer in a feature of a substrate in a first processing chamber, the feature comprising a bottom wall and sidewalls; moving the substrate to a second processing chamber that is integrated with the first processing chamber such that there is not an air break between the first and second processing chambers; preparing a metal cap layer directly on the metal silicide layer; and depositing a conductor on the metal cap layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
  • FIG. 1 is a cross-sectional view of a contact stack in accordance with one or more embodiments;
  • FIG. 2 is a cross-sectional view of a semiconductor device in accordance with one or more embodiments;
  • FIG. 3A is a flowchart of a method for forming a contact stack according to FIG. 1 in accordance with one or more embodiments;
  • FIG. 3B is a flowchart of a method for forming a contact stack according to one or more embodiments;
  • FIGS. 4A-4H illustrate various views of a stack during different stages of the method of FIG. 3B; and
  • FIG. 5 is a cluster tool accordance with one or more embodiments.
  • DETAILED DESCRIPTION
  • Before describing several exemplary embodiments of the disclosure, it is to be understood that the disclosure is not limited to the details of construction or process steps set forth in the following description. The disclosure is capable of other embodiments and of being practiced or being carried out in various ways.
  • As used in this specification and the appended claims, the term “substrate” refers to a surface, or portion of a surface, upon which a process acts. It will also be understood by those skilled in the art that reference to a substrate can also refer to only a portion of the substrate, unless the context clearly indicates otherwise. Additionally, reference to depositing on a substrate can mean both a bare substrate and a substrate with one or more films or features deposited or formed thereon.
  • A “substrate” as used herein, refers to any substrate or material surface formed on a substrate upon which film processing is performed during a fabrication process. For example, a substrate surface on which processing can be performed include materials such as silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon doped silicon oxides, amorphous silicon, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. Substrates include, without limitation, semiconductor wafers. Substrates may be exposed to a pretreatment process to polish, etch, reduce, oxidize, hydroxylate, anneal, UV cure, e-beam cure and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in the present disclosure, any of the film processing steps disclosed may also be performed on an underlayer formed on the substrate as disclosed in more detail below, and the term “substrate surface” is intended to include such underlayer as the context indicates. Thus for example, where a film/layer or partial film/layer has been deposited onto a substrate surface, the exposed surface of the newly deposited film/layer becomes the substrate surface.
  • As used herein, the term “fin field-effect transistor (FinFET)” refers to a MOSFET transistor built on a substrate where the gate is placed on two or three sides of the channel, forming a double- or triple-gate structure. FinFET devices have been given the generic name FinFETs because the channel region forms a “fin” on the substrate. FinFET devices have fast switching times and high current density.
  • As used herein, “consists essentially of” with respect to composition of a layer means that the stated elements compose greater than 95%, greater than 98%, greater than 99% or greater than 99.5% of the stated material on an atomic basis. For the avoidance of doubt, no stoichiometric ratios are implied by the identification of materials disclosed herein. For example, a TiSi material contains titanium and silicon. These elements may or may not be present at a 1:1 ratio.
  • Embodiments herein relate to contact stacks, semiconductor devices, and methods of making the same, which advantageously offer reduced resistance in transistor contacts. Resistance is reduced by eliminating nitrogen-based layers, e.g., a nitride cap layer and/or a nitride liner layer. Use of a metal-based cap layer on top of a silicide layer at contact areas, e.g., source and drain, eliminates the use of a nitrogen-based barrier film between silicide and conductor, e.g., plug metal. Contact resistance is advantageously reduced by direct contact between the silicide and plug metal, e.g., direct contact of silicide with different low resistivity metals (W, Ru, Mo, . . . ). The metal-based cap layer advantageously inhibits silicide from alteration by process chemicals (O2, F, Cl2, and the like).
  • Contact resistance (Ω) provides a measure of the opposition to electric current flow due to contacting interfaces. In a contact stack, a nitride-based cap according to prior art contributed upwards of 25% of the stack's contact resistance. Experiments of embodiments herein where a nitride-based cap (TiN) was replaced with a metal cap (W) resulted in a reduction in contact resistance on the order of 20%.
  • Metal cap layers herein are effective to inhibit and/or eliminate diffusion of undesirable elements into and/or silicon out of the underlying metal silicide layer. For example, a tungsten metal cap layer, e.g., having a thickness of about 20 to 30 Angstroms, is effective to inhibit and/or eliminate diffusion of one or more of: oxygen, argon, fluorine, silicon.
  • Processes according to one or more embodiments eliminate an air break, which facilitates the removal of a nitrogen-based cap layer. Deposition of a metal cap layer is done using low energy physical vapor deposition (PVD) technology, which replaces the use of chemical vapor deposition (CVD) and eliminates the need for a nitrogen-based liner for CVD nucleation. Low energy PVD technology also advantageously reduces potential for damage to the metal silicide layer.
  • According to one or more embodiments, devices and methods of formation these devices are particularly useful in forming FinFET devices and will be described in that context. Other devices and applications are also within the scope of the invention.
  • FIG. 1 illustrates a cross-sectional view of an exemplary contact stack 101 suitable for a semiconductor device. Stack 101 comprises a source/drain region 110. In some embodiments, the source/drain region 110 comprises silicon, germanium, silicon-germanium, or a group III/V compound semiconductor. Above the source/drain region 110 is a metal silicide layer 120. In some embodiments, the metal silicide layer 120 comprises: titanium silicide, cobalt silicide, or ruthenium silicide. In direct contact with the metal silicide layer 120 is a metal cap layer 130. In one or more embodiments, the contact stack 101 excludes a metal nitride layer in direct contact with the metal silicide layer. In one or more embodiments, the entire contact stack 101 excludes a metal nitride layer. In some embodiments, the metal cap comprises: tungsten, ruthenium, molybdenum, or alloys thereof. Above the metal cap layer is a conductor 140. In one or more embodiments, the metal cap layer is in contact with conductor 140. The conductor 140 may comprise a combination of layers to provide an active contact and/or a metal contact. In one or more embodiments, the conductor 140 comprises a metal selected from the group consisting of: tungsten, ruthenium, and cobalt.
  • In some embodiments, the metal silicide layer 120 comprises or consists essentially of TiSi. In some embodiments, the metal cap layer 130 comprises or consists essentially of tungsten (W).
  • FIG. 2 illustrates a cross-sectional view of a semiconductor device 200 comprising: a substrate 205, a contact stack 201 on the substrate 205, a dielectric layer 250, and a metal gate 260. The contact stack 201 comprises: a source/drain region 210, a metal silicide layer 220 on the source/drain region 210, a metal cap layer 230 in direct contact with the metal silicide layer 220, and a conductor 240 above the metal cap layer 230. In one or more embodiments, the conductor 240 is in contact with the metal cap layer 230. In one or more embodiments, the conductor 240 is in direct contact with the metal cap layer 230.
  • As shown in FIG. 2, in one or more embodiments, the source/drain region 210 is formed on the substrate 205. In other embodiments, a source/drain region may be integral to and/or extend from a body of the substrate.
  • In some embodiments, the source/drain region 210 comprises silicon, germanium, silicon-germanium, or a group III/V compound semiconductor. In some embodiments, the metal silicide layer 220 comprises: titanium silicide, cobalt silicide, ruthenium silicide, nickel silicide, molybdenum silicide, or alloys thereof. In one or more embodiments, the contact stack 201 excludes a metal nitride layer on the metal silicide layer. In one or more embodiments, the entire contact stack 201 excludes a metal nitride layer. In some embodiments, the metal cap layer 230 comprises: tungsten, ruthenium, molybdenum, or alloys thereof. The conductor 240 may comprise a combination of layers to provide an active contact and/or a metal contact. In one or more embodiments, the conductor 240 comprises a metal selected from the group consisting of: tungsten, ruthenium, and cobalt.
  • The dielectric layer 250 insulates the contact stack 201 from the metal gate 260. In one or more embodiments, the dielectric layer directly contacts the contact stack. In one or more embodiments, the semiconductor device 200 excludes a metal nitride layer between the contact stack 201 and the dielectric layer 250. In one or more embodiments, the entire semiconductor device 200 excludes a metal nitride layer. In one or more embodiments, the dielectric layer 250 comprises a dielectric material, such as an oxide or a nitride, for example: SiOx (e.g., SiO2), SiN, SiCN, or other suitable dielectric material.
  • In some embodiments, the metal silicide layer 220 comprises or consists essentially of TiSi. In some embodiments, the metal cap layer 230 comprises or consists essentially of tungsten (W).
  • In some embodiments, the metal silicide layer has a thickness of greater than or equal to 20 Å to less than or equal to 60 Å, and all values and subranges therebetween. In some embodiments, the metal silicide layer has a thickness of about 40 Å, which includes 40 ű10%. In one or more embodiments, the metal silicide layer is a selectively deposited layer. In one or more embodiments, the metal silicide layer is a selective layer of TiSi.
  • In some embodiments, the metal cap layer has a thickness of greater than or equal to 10 Å to less than or equal to 50 Å, and all values and subranges therebetween. In some embodiments, the metal cap layer has a thickness of about 30 Å, which includes 30 ű10%. In one or more embodiments, the metal cap layer is deposited by a PVD process.
  • Referring to FIG. 3A, a general embodiment relates to a method 300 of manufacturing a contact stack of a semiconductor device. The method 300 starts at operation 310 by depositing a metal silicide layer in a feature of a substrate. At operation 320, a metal cap layer is prepared directly on the metal silicide layer in the absence of an air break. For example, operation 310 is conducted in a first chamber that is integrated with a second chamber where operation 320 is conducted. At operation 330, a conductor is deposited on the metal cap layer. In one or more embodiments, operation 330 is conducted in a third chamber. In one or more embodiments, the method comprises: depositing a metal silicide layer in the feature of a substrate in a first processing chamber; moving the substrate to a second processing chamber that is integrated with the first processing chamber such that there is not an air break between the first and second processing chambers; preparing a metal cap layer directly on the metal silicide layer; and depositing a conductor on the metal cap layer.
  • Referring to FIGS. 3B to 4A-4H, another embodiment relates to a method 350 of manufacturing a contact stack of a semiconductor device 400. The method 350 starts at operation 360 by depositing a metal silicide layer 420 in a feature 402 of a substrate 405 as shown in FIG. 4A. In one or more embodiments, the feature 402 comprises a source/drain region 410 as a bottom wall 402 b, and sidewalls 402 s comprising a dielectric material 450.
  • The feature 402 may be formed by methods known in the art. As an example, the feature 402 may be a trench prepared by etching a dielectric layer to reach a source/drain region and there after by a pre-cleaning process (e.g., wet etch and/or dry etch) to remove contaminants. The wet etch process may utilize ammonia or hydrogen fluoride solution. The dry etch process may be a plasma etch process and may utilize a fluorine or hydrogen containing etchant. The pre-clean process would not substantially remove any portion of the source/drain region.
  • Reference to “ source/drain region” is a source region or a drain region or a merged source and drain region. In one or more embodiments, the source/drain region 410 is fabricated from a semiconductor material that is grown epitaxially on one or more surfaces of the substrate 405.
  • In one or more embodiments, the metal silicide layer 420 is deposited selectively onto the bottom wall 402 b. In one or more embodiments, the metal silicide layer 420 is deposited by a selective epitaxial deposition process such that the metal silicide layer 420 is formed on the bottom 402 b of the feature 402, and not on sidewalls 402 s of the feature 402 as a result of the selective epitaxial deposition process.
  • In general, any suitable precursors can be used for the metal silicide layer. For a titanium silicide layer, titanium precursors can include, but are not limited to TiCl4, TiBr4, Til4, TiF4, tetrakisdimethylamino titanium; silicon-based precursors can include but are not limited to silanes (e.g., silane(Si1H4), disilane (Si2H6), trisilane (Si3H8), tetrasilane (Si4H10), isotetrasilane, neopentasilane (Si6H12), cyclopentasilane (Si6H10), hexasilane (C6H14), cyclohexasilane (Si6H12) or, in general, SizHa where z=1 or more, and combinations thereof), organosilanes, and/or halosilanes (of SigHhXi, where each X is a halogen independently selected from F, Cl, Br and I, g is any integer greater than or equal to 1, h and i are each less than or equal to 2 g+2 and h+i is equal to 2 g+2) as a co-reactant.
  • The order in which the substrate is exposed to the precursors can be varied. The exposures may repeat in a deposition cycle. Further, exposure to a precursor may be repeated within a single deposition cycle.
  • At operation 370, a metal cap material 432 is deposited directly on the metal silicide layer 420, as shown in FIG. 4B, in the absence of an air break. In one or more embodiments, operations 360 and 370 are conducted in different processing chambers that are integrated. As such, transfer between the chambers is performed without breaking vacuum and/or without exposure to ambient air.
  • An exemplary process for depositing the metal cap material directly on the metal silicide layer is by a physical vapor deposition (PVD) process. In one or more embodiments, depositing the metal cap material directly on the metal silicide layer is conducted in (PVD) process chamber. In one or more embodiments, the conditions of the PVD process chamber are low energy. In one or more embodiments, the PVD process chamber is a RF-PVD process chamber. In one or more embodiments, temperature of the PVD chamber within a range of room temperature (e.g., 25° C.) to 600° C., including all values and subranges therebetween. In one or more embodiments, bias is in a range of 0 W to 400 W, including all values and subranges therebetween. In one or more embodiments, direct current is in a range of 0 W to 500 W. In one or more embodiments, radio frequency is in range of 1 kHz to 10 kHz.
  • In an embodiment, the PVD chamber has conditions of: a chamber temperature of 350° C. to 450° C.; a chamber pressure of 120 mT±50 mT; a bias in a range of 0 W to 200 W; a direct current (DC) in a range of 0 W to 500 W; and a radio frequency (RF) in a range of 1 kHz to 10 kHz. In one or more embodiments, the PVD chamber has conditions of: a chamber temperature of 400° C.±50° C.; a chamber pressure of 120 mT ±50 mT; a bias in a range of 0 W to 200 W; a direct current (DC) of 500 W±50 W; and a radio frequency (RF) of 3 kHz±1 kHz. In one or more embodiments, the PVD process is a plasma-enhanced PVD. In one or more embodiments, the plasma-enhanced PVD includes a pulsed radio frequency (RF) plasma.
  • According to one or more embodiments, deposition of the metal cap material 432 is by bottom fill as shown in FIG. 4B, which requires further processing to prepare a metal cap layer 430 at operation 380 prior to deposition of a conductor at operation 390.
  • In general, any suitable metal cap precursor can be used for the metal cap material and/or metal cap layer.
  • Operation 380 to prepare a metal cap layer includes FIGS. 4C-4G. In FIG. 4C, according to one or more embodiments, a material 434, which may be a spin-on or gap-fill material, is deposited over the entirety of the device 400. In one or more embodiments, the material 434 is a spin-on material, which is a carbon-based material. In one or more embodiments, the material 434 is a CVD gap-fill material, which is a dielectic material.
  • FIG. 4D, according to one or more embodiments, depicts etching of at least a portion of the material 434. For spin-on material, etching may be conducted by a dry etch process, which may utilize a plasma etch process and may utilize a hydrogen or nitrogen or oxygen containing etchant. For tungsten material, etching may be conducted by a dry etch process, which may utilze oxidizing exposed tungsten followed by WF6. Alternatively, according to one or more embodiments, after depositing the material 434 shown in FIG. 4C, a chemical mechanical polishing (CMP) of at least a portion of the material and the metal cap layer above the dielectric material may be applied followed by an etching of at least a portion of the material 434 in the trench.
  • In FIG. 4E, the metal cap material 432 is etched to remove the metal cap material 432 from a portion of the sidewalls 402 s above the material 434 and the top surfaces of the dielectric material 450.
  • In FIG. 4F, the remaining material 434 is etched away, leaving the metal cap material 432 exposed.
  • In FIG. 4G, the exposed metal cap material 432 is etched (e.g., wet etch and/or dry etch) to form a metal cap layer 430. An etching with oxygen-, fluorine-, or chlorine-based gas may be conducted, for example.
  • After formation of the metal cap layer 430, at operation 390 and shown in FIG. 4H, a conductor 440 is deposited on the metal cap layer 430. The conductor 440 is fabricated from an electrically conductive material, such as a metal. In one or more embodiments, the conductor comprises a metal selected from the group consisting of: tungsten, ruthenium, and cobalt. Optionally, prior to deposition of the conductor 440 there is a pre-clean operation conducted. In one or more embodiments, the pre-clean operation prior to deposition of the conductor comprises a plasma treatment, e.g., hydrogen plasma.
  • In one or more embodiments, the conductor is deposited by a selective deposition method. In one or more embodiments, the conductor is deposited by a CVD process and/or a PVD process.
  • In general, any suitable precursor can be used for the conductor. For example, precursors of a tungsten conductor can include, but are not limited to WCl6, WBr6, Wl6, WF6.
  • Consistent with the foregoing, methods of this disclosure can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate, second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the separate processing chamber. Accordingly, a suitable processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a “cluster tool” or “clustered system,” and the like.
  • Generally, a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, annealing, deposition and/or etching. According to one or more embodiments, a cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. Two well-known cluster tools which may be adapted for the present disclosure are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif. However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein. Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, anneal, orientation, hydroxylation and other substrate processes. By carrying out processes in a chamber on a cluster tool, surface contamination of the substrate with atmospheric impurities can be avoided without oxidation prior to depositing a subsequent film.
  • In some embodiments, the first processing chamber and the second processing chamber are part of the same, clustered, processing tool. Accordingly, in some embodiments, the method is an in-situ integrated method.
  • In some embodiments, the first processing chamber and the second processing chamber are different processing tools. Accordingly, in some embodiments, the method is an ex-situ integrated method.
  • According to one or more embodiments, the substrate is continuously under vacuum or “load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next. The transfer chambers are thus under vacuum and are “pumped down” under vacuum pressure. Inert gases may be present in the processing chambers or the transfer chambers. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants. According to one or more embodiments, a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
  • The substrate can be processed in single substrate deposition chambers, where a single substrate is loaded, processed and unloaded before another substrate is processed. The substrate can also be processed in a continuous manner, similar to a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber. The shape of the chamber and associated conveyer system can form a straight path or curved path. Additionally, the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, and/or cleaning processes throughout the carousel path.
  • The substrate can also be stationary or rotated during processing. A rotating substrate can be rotated continuously or in discreet steps. For example, a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposures to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.
  • With reference to FIG. 5, additional embodiments of the disclosure are directed to a processing system 900 for executing the methods described herein. FIG. 5 illustrates a system 900 that can be used to process a substrate according to one or more embodiment of the disclosure. The system 900 can be referred to as a cluster tool. The system 900 includes a central transfer station 910 with a robot 912 therein. The robot 912 is illustrated as a single blade robot; however, those skilled in the art will recognize that other robot 912 configurations are within the scope of the disclosure. The robot 912 is configured to move one or more substrate between chambers connected to the central transfer station 910.
  • At least one pre-clean/buffer chamber 920 is connected to the central transfer station 910. The pre-clean/buffer chamber 920 can include one or more of a heater, a radical source or plasma source. The pre-clean/buffer chamber 920 can be used as a holding area for an individual semiconductor substrate or for a cassette of wafers for processing. The pre-clean/buffer chamber 920 can perform pre-cleaning processes or can pre-heat the substrate for processing or can simply be a staging area for the process sequence. In some embodiments, there are two pre-clean/buffer chambers 920 connected to the central transfer station 910.
  • In the embodiment shown in FIG. 5, the pre-clean chambers 920 can act as pass through chambers between the factory interface 905 and the central transfer station 910. The factory interface 905 can include one or more robot 906 to move substrate from a cassette to the pre-clean/buffer chamber 920. The robot 912 can then move the substrate from the pre-clean/buffer chamber 920 to other chambers within the system 900.
  • A first processing chamber 930 can be connected to the central transfer station 910. The first processing chamber 930 can be configured as an epitaxy chamber for (selectively) depositing a metal silicide layer and may be in fluid communication with one or more reactive gas sources to provide one or more flows of reactive gases to the first processing chamber 930. The substrate can be moved to and from the processing chamber 930 by the robot 912 passing through isolation valve 914.
  • Processing chamber 940 can also be connected to the central transfer station 910. In some embodiments, processing chamber 940 comprises physical vapor deposition (PVD) chamber for depositing a metal cap material and/or layer and is fluid communication with one or more reactive gas sources to provide flows of reactive gas to the processing chamber 940. In some embodiments, processing chamber 940 is an RF-PVD chamber. The substrate can be moved to and from the processing chamber 940 by robot 912 passing through isolation valve 914.
  • In some embodiments, processing chamber 960 is connected to the central transfer station 910 and is configured to act as a conductor deposition chamber. The processing chamber 960 can be configured to perform one or more different selective deposition (e.g., CVD or PVD) processes.
  • In some embodiments, each of the processing chambers 930, 940, and 960 are configured to perform different portions of the processing method. For example, processing chamber 930 may be configured to perform the metal silicide layer deposition process, processing chamber 940 may be configured to perform the metal cap material and/or layer deposition process, and processing chamber 960 may be configured to perform a conductor deposition process. The skilled artisan will recognize that the number and arrangement of individual processing chamber on the tool can be varied and that the embodiment illustrated in FIG. 5 is merely representative of one possible configuration.
  • In some embodiments, the processing system 900 includes one or more metrology stations. For example metrology stations can be located within pre-clean/buffer chamber 920, within the central transfer station 910 or within any of the individual processing chambers. The metrology station can be any position within the system 900 that allows the distance of the recess to be measured without exposing the substrate to an oxidizing environment.
  • At least one controller 950 is coupled to one or more of the central transfer station 910, the pre-clean/buffer chamber 920, processing chambers 930, 940, or 960. In some embodiments, there are more than one controller 950 connected to the individual chambers or stations and a primary control processor is coupled to each of the separate processors to control the system 900. The controller 950 may be one of any form of general-purpose computer processor, microcontroller, microprocessor, etc., that can be used in an industrial setting for controlling various chambers and sub-processors.
  • The at least one controller 950 can have a processor 952, a memory 954 coupled to the processor 952, input/output devices 956 coupled to the processor 952, and support circuits 958 to communication between the different electronic components. The memory 954 can include one or more of transitory memory (e.g., random access memory) and non-transitory memory (e.g., storage).
  • The memory 954, or computer-readable medium, of the processor may be one or more of readily available memory such as random access memory (RAM), read-only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. The memory 954 can retain an instruction set that is operable by the processor 952 to control parameters and components of the system 900. The support circuits 958 are coupled to the processor 952 for supporting the processor in a conventional manner. Circuits may include, for example, cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • Processes may generally be stored in the memory as a software routine that, when executed by the processor, causes the process chamber to perform processes of the present disclosure. The software routine may also be stored and/or executed by a second processor (not shown) that is remotely located from the hardware being controlled by the processor. Some or all of the method of the present disclosure may also be performed in hardware. As such, the process may be implemented in software and executed using a computer system, in hardware as, e.g., an application specific integrated circuit or other type of hardware implementation, or as a combination of software and hardware. The software routine, when executed by the processor, transforms the general purpose computer into a specific purpose computer (controller) that controls the chamber operation such that the processes are performed.
  • In some embodiments, the controller 950 has one or more configurations to execute individual processes or sub-processes to perform the method. The controller 950 can be connected to and configured to operate intermediate components to perform the functions of the methods. For example, the controller 950 can be connected to and configured to control one or more of gas valves, actuators, motors, slit valves, vacuum control, etc.
  • The controller 950 of some embodiments has one or more configurations selected from: a configuration to move a substrate on the robot between the plurality of processing chambers and metrology station; a configuration to load and/or unload substrates from the system; a configuration to deposit a metal silicide layer, which in one or more embodiments comprises TiSi; a configuration to deposit a metal cap layer, which in one or more embodiments comprises W, directly on the metal silicide layer; and/or a configuration to deposit a conductor, which in one or more embodiments comprises W.
  • Reference throughout this specification to “one embodiment,” “certain embodiments,” “one or more embodiments” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the disclosure. Thus, the appearances of the phrases such as “in one or more embodiments,” “in certain embodiments,” “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the disclosure. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.
  • Although the disclosure herein has been described with reference to particular embodiments, those skilled in the art will understand that the embodiments described are merely illustrative of the principles and applications of the present disclosure. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present disclosure without departing from the spirit and scope of the disclosure. Thus, the present disclosure can include modifications and variations that are within the scope of the appended claims and their equivalents.

Claims (20)

What is claimed is:
1. A contact stack of a semiconductor device comprising: a source/drain region; a metal silicide layer above the source/drain region; a metal cap layer in direct contact with the metal silicide layer; and a conductor in contact with the metal cap layer.
2. The contact stack of claim 1, wherein the source/drain region comprises: silicon, germanium, silicon-germanium, or a group III/V compound semiconductor.
3. The contact stack of claim 1, wherein the metal silicide layer comprises: titanium silicide, cobalt silicide, ruthenium silicide, nickel silicide, molybdenum silicide, or alloys thereof.
4. The contact stack of claim 1, wherein the metal cap layer comprises: tungsten, ruthenium, molybdenum, or alloys thereof.
5. The contact stack of claim 1, wherein the conductor comprises a metal selected from the group consisting of: tungsten, ruthenium, and cobalt.
6. The contact stack of claim 1 excluding a metal nitride layer in direct contact with the metal silicide layer.
7. A semiconductor device comprising:
a contact stack on the substrate, the contact stack comprising:
a source/drain region comprising: silicon, germanium, silicon-germanium, or a group III/V compound semiconductor;
a metal silicide layer on the source/drain region, the metal silicide layer comprising: titanium silicide, cobalt silicide, ruthenium silicide, nickel silicide, molybdenum silicide, or alloys thereof;
a metal cap layer directly on the metal silicide layer, the metal cap layer comprising: tungsten, ruthenium, molybdenum, or alloys thereof; and
a conductor on the metal cap layer; and
a dielectric layer adjacent to the contact stack, and
a metal gate adjacent to the dielectric layer.
8. The semiconductor device of claim 7, wherein the dielectric layer in direct contact with the contact stack.
9. The semiconductor device of claim 7 excluding a metal nitride layer in direct contact with the metal silicide layer.
10. A method comprising:
depositing a metal silicide layer in a feature of a substrate in a first processing chamber, the feature comprising a bottom wall and sidewalls;
moving the substrate to a second processing chamber that is integrated with the first processing chamber such that there is not an air break between the first and second processing chambers;
preparing a metal cap layer directly on the metal silicide layer; and
depositing a conductor on the metal cap layer.
11. The method claim 10, wherein the feature comprises a source/drain region as the bottom wall, and a dielectric material as the sidewalls.
12. The method claim 10, wherein the metal silicide layer is deposited selectively on the bottom wall.
13. The method claim 10, wherein the conductor is deposited selectively on the metal cap layer.
14. The method of claim 10, wherein the preparing of the metal cap layer directly on the metal silicide layer is by a physical vapor deposition (PVD) process of a metal cap material.
15. The method of claim 14, wherein the PVD process is conducted under conditions of: a chamber temperature of 350° C. to 450° C.; a chamber pressure of 120 mT ±50 mT; a bias in a range of 0 W to 200 W; a direct current (DC) of 0 W to 500 W; and a radio frequency (RF) in a range of 1 kHz to 10 kHz.
16. The method of claim 14, wherein the preparing of the metal cap layer comprises depositing of the metal cap material, depositing a spin-on or gap-fill material, and thereafter etching of at least a portion of the spin-on or gap-fill material and the metal cap material.
17. The method of claim 16 comprising further etching of the metal cap material.
18. The method of claim 14, wherein the preparing of the metal cap layer comprises depositing of the metal cap material, depositing a spin-on or gap-fill material, and thereafter chemical mechanical polishing (CMP) of at least a portion of the spin-on or gap-fill material and the metal cap material.
19. The method of claim 18 comprising further etching of the metal cap material.
20. The method of claim 10, wherein the metal silicide layer comprises: titanium silicide, cobalt silicide, ruthenium silicide, nickel silicide, molybdenum silicide, or alloys thereof; and/or the metal cap layer comprises: tungsten, ruthenium, molybdenum, or alloys thereof, and/or the conductor comprises a metal selected from the group consisting of: tungsten, ruthenium, and cobalt.
US17/152,190 2021-01-19 2021-01-19 Metal cap for contact resistance reduction Abandoned US20220231137A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US17/152,190 US20220231137A1 (en) 2021-01-19 2021-01-19 Metal cap for contact resistance reduction
EP21921593.6A EP4282005A1 (en) 2021-01-19 2021-10-13 Metal cap for contact resistance reduction
PCT/US2021/054650 WO2022159152A1 (en) 2021-01-19 2021-10-13 Metal cap for contact resistance reduction
KR1020237027895A KR20230129552A (en) 2021-01-19 2021-10-13 Metal cap for reduced contact resistance
CN202180090981.1A CN116711082A (en) 2021-01-19 2021-10-13 Metal cap for reducing contact resistance
TW110138831A TW202230805A (en) 2021-01-19 2021-10-20 Metal cap for contact resistance reduction
US18/379,600 US20240038859A1 (en) 2021-01-19 2023-10-12 Metal cap for contact resistance reduction

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17/152,190 US20220231137A1 (en) 2021-01-19 2021-01-19 Metal cap for contact resistance reduction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/379,600 Division US20240038859A1 (en) 2021-01-19 2023-10-12 Metal cap for contact resistance reduction

Publications (1)

Publication Number Publication Date
US20220231137A1 true US20220231137A1 (en) 2022-07-21

Family

ID=82405371

Family Applications (2)

Application Number Title Priority Date Filing Date
US17/152,190 Abandoned US20220231137A1 (en) 2021-01-19 2021-01-19 Metal cap for contact resistance reduction
US18/379,600 Pending US20240038859A1 (en) 2021-01-19 2023-10-12 Metal cap for contact resistance reduction

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/379,600 Pending US20240038859A1 (en) 2021-01-19 2023-10-12 Metal cap for contact resistance reduction

Country Status (6)

Country Link
US (2) US20220231137A1 (en)
EP (1) EP4282005A1 (en)
KR (1) KR20230129552A (en)
CN (1) CN116711082A (en)
TW (1) TW202230805A (en)
WO (1) WO2022159152A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150118818A1 (en) * 2012-05-08 2015-04-30 Haizhou Yin Method for manufacturing semiconductor device
US20180166329A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device contact
US20200135858A1 (en) * 2018-10-31 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Source/Drain Metal Contact and Formation Thereof
US10818768B1 (en) * 2019-05-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming metal cap layers to improve performance of semiconductor structure
US20210098364A1 (en) * 2019-09-26 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Features and Methods of Fabricating the Same in Semiconductor Devices

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20060163671A1 (en) * 2005-01-27 2006-07-27 International Business Machines Corporation Silicide cap structure and process for reduced stress and improved gate sheet resistance
KR102471158B1 (en) * 2017-03-06 2022-11-25 삼성전자주식회사 Integrated circuit device
KR102365108B1 (en) * 2017-08-01 2022-02-18 삼성전자주식회사 Integrated Circuit devices
US10930551B2 (en) * 2019-06-28 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for fabricating a low-resistance interconnect

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150118818A1 (en) * 2012-05-08 2015-04-30 Haizhou Yin Method for manufacturing semiconductor device
US20180166329A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device contact
US20200135858A1 (en) * 2018-10-31 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Source/Drain Metal Contact and Formation Thereof
US10818768B1 (en) * 2019-05-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming metal cap layers to improve performance of semiconductor structure
US20210098364A1 (en) * 2019-09-26 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Features and Methods of Fabricating the Same in Semiconductor Devices

Also Published As

Publication number Publication date
CN116711082A (en) 2023-09-05
EP4282005A1 (en) 2023-11-29
KR20230129552A (en) 2023-09-08
US20240038859A1 (en) 2024-02-01
WO2022159152A1 (en) 2022-07-28
TW202230805A (en) 2022-08-01

Similar Documents

Publication Publication Date Title
US9441298B2 (en) Devices including metal-silicon contacts using indium arsenide films and apparatus and methods
US10431466B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10504779B2 (en) Hydrogenation and nitridization processes for reducing oxygen content in a film
TWI812840B (en) Semiconductor device fabrication processes and semiconductor structures
US20220254900A1 (en) Mosfet gate engineerinng with dipole films
US10930555B2 (en) Contact over active gate structure
US20220231137A1 (en) Metal cap for contact resistance reduction
US11189479B2 (en) Diffusion barrier layer
JP7455968B2 (en) PMOS high dielectric constant metal gate
US11335555B2 (en) Methods for conformal doping of three dimensional structures
US11515200B2 (en) Selective tungsten deposition within trench structures
US20240018647A1 (en) Oxidation barriers with cvd soak processes
US20230178375A1 (en) Methods for forming work function modulating layers
JP7439101B2 (en) Hydrogenation and nitridation treatments to change the effective oxide thickness of the film
US11552177B2 (en) PMOS high-K metal gates
WO2023196180A1 (en) Integrated cleaning and selective molybdenum deposition processes

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MEBARKI, BENCHERKI;LEE, JOUNG JOO;HOU, WENTING;AND OTHERS;SIGNING DATES FROM 20210122 TO 20210203;REEL/FRAME:055149/0951

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION