TW202147452A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202147452A
TW202147452A TW110116716A TW110116716A TW202147452A TW 202147452 A TW202147452 A TW 202147452A TW 110116716 A TW110116716 A TW 110116716A TW 110116716 A TW110116716 A TW 110116716A TW 202147452 A TW202147452 A TW 202147452A
Authority
TW
Taiwan
Prior art keywords
drain
contact
source
layer
fin
Prior art date
Application number
TW110116716A
Other languages
English (en)
Other versions
TWI801864B (zh
Inventor
廖翊博
黃禹軒
李韋儒
陳豪育
鄭存甫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/093,230 external-priority patent/US11532627B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202147452A publication Critical patent/TW202147452A/zh
Application granted granted Critical
Publication of TWI801864B publication Critical patent/TWI801864B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種半導體裝置,包括:第一互連結構;第一電晶體,在第一互連結構上且包括:第一奈米結構;以及第一源極部件,鄰接第一奈米結構;第二電晶體,在第一電晶體上且包括:第二奈米結構;以及第二源極部件,鄰接第二奈米結構;以及第二互連結構,在第二電晶體上,其中第一源極部件耦合至在第一互連結構之中的第一電源軌,並且第二源極部件耦合至在第二互連結構之中的第二電源軌。

Description

半導體裝置及其形成方法
本發明實施例係有關於一種半導體裝置及其形成方法,且特別關於一種多閘極裝置及其形成方法。
半導體積體電路產業經歷了快速成長。積體電路材料以及設計的技術進步已經產生數個積體電路世代,其中每一世代都比前一世代具有更小且更複雜的電路。在積體電路演進期間,功能密度(亦即,單位晶片面積的互連裝置數目)通常會增加而幾何尺寸(亦即,即可使用製程生產的最小元件(或線))卻減少。此微縮化的過程通常會以增加生產效率與降低相關成本而提供助益。然而,此微縮化也增加了積體電路製造以及製程的複雜性。
例如,隨著積體電路(IC)技術朝向更小的技術節點發展,多閘極裝置已被引入以通過增加閘極-通道耦合(gate-channel coupling)、減小關閉狀態電流(OFF-state current)以及減小短通道效應(short-channel effects, SCEs)來改善閘極控制。多閘極裝置一般來說是指具有設置在通道區的一側以上的閘極結構或其一部份的裝置。鰭式場效電晶體(Fin-like field effect transistors, FinFETs)以及多橋接通道(multi-bridge-channel, MBC)電晶體為多閘極裝置的示例,這些裝置已成為高性能以及低漏電流應用的主流並且具有前景的候選裝置(candidates)。FinFET具有上升的(elevated)通道,且閘極包繞通道的一側以上(例如,閘極包繞從基板延伸的半導體材料“鰭片”的頂部以及側壁)。MBC電晶體的閘極結構可以部份地或完全地圍繞通道區延伸,以提供對通道區兩側或更多側的存取(access)。由於MBC電晶體的閘極結構圍繞通道區,因此MBC電晶體也可以稱為環繞閘極電晶體(surrounding gate transistor, SGT)或全繞式閘極(gate-all-around, GAA)電晶體。MBC電晶體的通道區可以由奈米線、奈米片、其他奈米結構及/或其他合適的結構形成。
多閘極電晶體的實施減小裝置尺寸並增加裝置封裝密度,這提出在形成電源以及信號路線的挑戰。儘管現有的源極/汲極接觸結構通常已經足以滿足其預期目的,但是它們並非在全部的方面都令人滿意。
本發明一些實施例提供一種半導體裝置,包括:第一互連結構;第一電晶體,在第一互連結構上且包括:第一奈米結構;以及第一源極部件,鄰接(adjoining)第一奈米結構;第二電晶體,在第一電晶體上且包括:第二奈米結構;以及第二源極部件,鄰接第二奈米結構;以及第二互連結構,在第二電晶體上,其中第一源極部件耦合至在第一互連結構之中的第一電源軌,並且第二源極部件耦合至在第二互連結構之中的第二電源軌。
本發明另一些實施例提供一種半導體裝置,包括:第一互連結構;第一電晶體,在第一互連結構上且包括:第一奈米結構;以及第一源極部件,鄰接第一奈米結構;第二電晶體,在第一電晶體上且包括:第二奈米結構;以及第二源極部件,鄰接第二奈米結構;以及第二互連結構,在第二電晶體上,其中第一源極部件耦合至在第一互連結構之中的第一電源軌,並且第二源極部件耦合至在第一互連結構之中的第二電源軌。
本發明又一些實施例提供一種形成半導體裝置的方法,包括:接收工件,工件包括第一基板以及在第一基板上的第一堆疊,第一堆疊包括與第一複數個犧牲層交錯的第一複數個通道層;由第一堆疊以及第一基板的部份形成第一鰭狀結構,第一鰭狀結構包括第一源極區以及第一汲極區;形成第一混合鰭片以及第二混合鰭片,第一混合鰭片以及第二混合鰭片平行於第一鰭狀結構延伸,第一混合鰭片包括內嵌在第一介電部件之中的第一導電部件,並且第二混合鰭片包括內嵌在第二介電部件之中的第二導電部件;在第一源極區上形成第一源極部件,並在第一汲極區上形成第一汲極部件;形成第一源極接觸件,第一源極接觸件直接接觸第一源極部件以及第一導電部件;形成第一汲極接觸件,第一汲極接觸件直接接觸第一汲極部件;在第一源極接觸件以及在第一汲極接觸件上沉積蓋層;在蓋層上接合(bonding)第二堆疊,第二堆疊包括與第二複數個犧牲層交錯的第二複數個通道層;由第二堆疊形成第二鰭狀結構,第二鰭狀結構包括第二源極區以及第二汲極區;形成第三混合鰭片以及第四混合鰭片,第三混合鰭片以及第四混合鰭片平行於第二鰭狀結構延伸,第三混合鰭片包括內嵌在第三介電部件之中的第三導電部件,並且第四混合鰭片包括內嵌在第四介電部件之中的第四導電部件;在第二源極區上形成第二源極部件,並在第二汲極區上形成第二汲極部件;形成第二源極接觸件,第二源極接觸件直接接觸第二源極部件以及第三導電部件;以及形成第二汲極接觸件,第二汲極接觸件直接接觸第二汲極部件。
以下內容提供了許多不同實施例或範例,以實現本揭露實施例的不同部件。以下描述組件和配置方式的具體範例,以簡化本揭露實施例。當然,這些僅僅是範例,而非意圖限制本揭露實施例。舉例而言,元件的尺寸不限於所揭露的範圍或數值,而是可以取決於製程條件及/或裝置的期望特性。此外,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件可以不直接接觸的實施例。為了簡單和清楚起見,可以按不同比例任意繪製各種部件。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
再者,用語「大約」、「近似」等類似用語描述數字或數字範圍時,該用語意欲涵蓋的數值是在合理範圍內包含所描述的數字,例如在所描述的數字之+/–10%之內,或本發明所屬技術領域中具有通常知識者理解的其他數值。例如,具有「約5奈米」厚度的材料層可以涵蓋從4.25奈米至5.75奈米的尺寸範圍,其與所屬技術領域中具有通常知識者已知以及與沉積材料層相關的製造公差為+/–15%。此外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。以下描述實施例的一些變化。
通過採用MBC電晶體而實現的高封裝密度對於形成令人滿意的電源和信號路線結構以及部件造成挑戰。為了滿足這些挑戰,本揭露提供實施例,其利用接觸結構方案的不同組合以實現電源和信號路線的靈活性以及密度。當第二MBC電晶體設置在第一MBC電晶體上方時,根據本揭露的接觸結構方案包括例如雙(dual)互連結構、具有嵌入式(embedded)導電部件的混合(hybrid)鰭片以及偏置(offset)裝置堆疊。在「雙互連結構」中,第一MBC電晶體的源極部件通過背側源極接觸件耦合至在第一互連結構中的電源軌,並且第二MBC電晶體的源極部件耦合至在第二MBC電晶體上方的第二互連結構中的電源軌。在「具有嵌入式導電部件的混合鰭片」中,導電部件嵌入在每個混合鰭片中,以提供用作至互連結構的導電路徑的接觸模組(contact modules)。在「偏置裝置堆疊」中,第一MBC電晶體和第二MBC電晶體的源極/汲極區彼此偏置,以增加接觸導孔和汲極部件之間的間隔。
現在將參考附圖更詳細地描述本揭露的各個方面。對此,第1、18以及36圖根據本揭露的實施例,係由工件形成半導體裝置的方法100、300以及500的流程圖。方法100、300以及500僅是示例,並且不旨在將本揭露限制在方法100、300以及500中明確示出的內容。可以在方法100、300以及500之前、之中以及之後提供額外的步驟,並且對於所述方法的額外實施例,所描述的一些步驟可以被替換、消除或移動。為了簡單起見,本揭露沒有詳細描述所有步驟。以下結合第2-10、11A-17A、11B-17B、19-28、29A-35A、29B-35B、37-44、45A-50A、45B-50B圖來描述方法100、300以及500,第2-10、11A-17A、11B-17B、19-28、29A-35A、29B-35B、37-44、45A-50A、45B-50B圖係根據方法100、300以及500的實施例,在製造的不同階段的工件的局部剖面圖。為了更好地說明本揭露的各個方面,每個以大寫字母A結尾的附圖繪示源極區的局部剖面圖,並且每個以大寫字母B結尾的附圖繪示汲極區的局部剖面圖。另外,本揭露提供一種用於形成共同(common)閘極結構的方法600,其活化(activate)兩個垂直對準的MBC電晶體。以下結合第53-57圖中的剖面圖描述第52圖所示的方法600。方法600可以至少與方法100以及300一起使用。
參照第1圖以及第2圖,方法100包括步驟102,步驟102提供工件200。應當理解,因為工件200將被製造成半導體裝置,所以根據上下文所需,工件200也可以被稱為半導體裝置200。工件200可以包括基板202。儘管在附圖中未明確示出,但是基板202可以包括用於製造不同導電類型的電晶體的n型阱區和p型阱區。在一實施例中,基板202可以是矽(Si)基板。在一些其他實施例中,基板202可以包括其他半導體,例如鍺(Ge)、矽鍺(SiGe)或III-V族半導體材料。示例的III-V族半導體材料可以包括砷化鎵(GaAs)、磷化銦(InP)、磷化鎵(GaP)、氮化鎵(GaN)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、磷化鎵銦(GaInP)以及砷化銦鎵(InGaAs)。基板202還可以包括絕緣層,例如氧化矽層,以具有絕緣體上矽(silicon-on-insulator, SOI)結構。若存在時,每個n型阱以及p型阱都形成在基板202中並且包括摻雜輪廓(doping profile)。n型阱可以包括n型摻質,例如磷(P)或砷(As)的摻雜輪廓。p型阱可以包括p型摻質,例如硼(B)的摻雜輪廓。可以使用離子佈植或熱擴散以形成n型阱以及p型阱的摻雜,並且可以將其視為基板202的一部份。為了避免疑慮,X方向、Y方向以及Z方向為彼此垂直。
如第2圖所示,工件200還包括設置在基板202上方的第一堆疊204。第一堆疊204包括與複數個犧牲層206交錯的複數個通道層208。通道層208和犧牲層206可以具有不同的半導體組成。在一些實施方式中,通道層208由矽(Si)形成,並且犧牲層206由矽鍺(SiGe)形成。在這些實施例中,犧牲層206中額外的鍺含量允許犧牲層206的選擇性去除或凹蝕,而大抵不對通道層208造成損害。在一些實施例中,犧牲層206以及通道層208為磊晶層,並且可以使用磊晶製程沉積。合適的磊晶製程包括氣相磊晶(vapor-phase epitaxy, VPE)、超高真空化學氣相沉積(ultra-high vacuum chemical vapor deposition, UHV-CVD)、分子束磊晶(molecular beam epitaxy, MBE)及/或其他合適的製程。如第2圖所示,犧牲層206以及通道層208一個接一個地交替沉積,以形成第一堆疊204。應當理解,如第2圖所示,三層犧牲層206以及三層通道層208交替地以及垂直地設置,其配置僅出於說明目的,並不意旨在限制請求項中具體記載的內容。應當理解,可以在第一堆疊204中形成任何數量的犧牲層206以及通道層208。膜層的數量取決於半導體裝置200通道構件(members)的期望數量。在一些實施例中,通道層208的數量介於2至10之間。
參照第1圖以及第3圖,方法100包括步驟104,步驟104由第一堆疊204形成第一鰭狀結構209。在一些實施例中,對第一堆疊204以及基板202的一部份進行圖案化以形成第一鰭狀結構209。為了圖案化的目的,可以在第一堆疊204上沉積硬遮罩層。硬遮罩層可以是單層或多層。在一些示例中,硬遮罩層包括氧化矽層以及在氧化矽層上方的氮化矽層。如第3圖所示,第一鰭狀結構209從基板202沿著Z方向垂直延伸,並沿著Y方向縱向(lengthwise)延伸。第一鰭狀結構209包括由基板202形成的基底部份209B以及由第一堆疊204形成的堆疊部份209S。可以使用合適的製程,包括雙重圖案化或多重圖案化製程以圖案化第一鰭狀結構209。一般來說,雙重圖案化或多重圖案化製程結合了微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距更小的圖案。例如,在一實施例中,在基板上方形成材料層,並使用微影製程對其進行圖案化。使用自對準製程在圖案化的材料層旁邊形成間隔物。之後去除材料層,然後可以使用剩餘的間隔物或心軸(mandrel)並通過蝕刻第一堆疊204以及基板202以圖案化鰭狀結構209。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應離子蝕刻(reactive ion etching, RIE)及/或其他合適的製程。在第3圖所示的一些實施例中,在形成第一鰭狀結構209之後,可以將第一襯層210順應性地(conformally)沉積在工件200上。第一襯層210可以包括氮化矽並且可以通過化學氣相沉積(CVD)或原子層沉積(atomic layer deposition, ALD)形成。
參照第1圖以及第4圖,方法100包括步驟106,步驟106形成隔離部件214。隔離部件214也可以稱為淺溝槽隔離(shallow trench isolation, STI)部件214。在示例製程中,可以使用CVD、次大氣壓CVD(subatmospheric CVD, SACVD)、流動式(flowable)CVD、原子層沉積(ALD)、物理氣相沉積(physical vapor deposition, PVD)、旋轉塗佈及/或其他合適的製程將用於隔離部件214的介電材料沉積在第一襯層210上。之後,沉積的介電材料被平坦化並凹蝕,直到第一鰭狀結構209上升至隔離部件214上方。亦即,在隔離部件214的凹蝕之後,第一鰭狀結構209的基底部份209B被隔離部件214圍繞。用於隔離部件214的介電材料可以包括氧化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluorine-doped silicate glass, FSG)、低介電常數介電質、其組合及/或其他合適的材料。在凹蝕隔離部件214之後,選擇性地凹蝕第一襯層210直到露出第一鰭狀結構209的堆疊部份209S。
參照第1圖以及第5圖,方法100包括步驟108,步驟108在第一鰭狀結構209和隔離部件214上方沉積犧牲間隔物層216。在一些實施例中,犧牲間隔物層216可以包括氧化矽並且可以順應地沉積在工件200上方。犧牲間隔物層216沿著隔離部件214的頂表面並設置在隔離部件214的頂表面上,且犧牲間隔物層216沿著堆疊部份209S的頂表面和側壁並設置在堆疊部份209S的頂表面和側壁上。
參照第1圖以及第6圖,方法100包括步驟110,步驟110在犧牲間隔物層216上方沉積第一介電層218。第一介電層218可以包括氮化矽、氧化鉿、氧化鋁、氧化鋯或允許選擇性蝕刻犧牲間隔物層216的介電材料。可以使用CVD沉積第一介電層218。儘管未在圖中明確示出,但是可以對工件200執行平坦化製程,例如化學機械研磨(chemical mechanical polishing, CMP)製程以露出堆疊部份209S的頂表面。平坦化製程也露出犧牲間隔物層216的頂表面。
參照第1圖以及第7圖,方法100包括步驟112,步驟112選擇性地回蝕犧牲間隔物層216以釋出第一鰭狀結構209的堆疊部份209S。如第7圖所示,在步驟112處,選擇性地去除沿著堆疊部份209S的側壁延伸的犧牲間隔物層216的垂直部份,而大抵不損壞堆疊部份209S以及第一介電層218。在犧牲間隔物層216由氧化矽形成並且第一介電層218由氮化矽形成的示例中,可以使用稀釋氫氟酸(diluted hydrofluoric acid, DHF)或緩衝氫氟酸(buffered hydrofluoric acid, BHF)選擇性地蝕刻犧牲間隔物層216。此處,BHF包括氫氟酸以及氟化銨。在步驟112處的操作結束時,混合鰭片217形成在堆疊部份209S的兩側上並且平行於堆疊部份209S縱向延伸。每個混合鰭片217包括犧牲間隔物層216以及在犧牲間隔物層216上方的第一介電層218。
參照第1圖以及第8圖,方法100包括步驟114,步驟114在堆疊部份209S和混合鰭片217上方形成虛設閘極堆疊222。在一些實施例中,採用閘極替換製程(或閘極後製製程),其中虛設閘極堆疊222用作功能性閘極結構的佔位符(placeholder)。可以包括其他製程以及配置。為了形成虛設閘極堆疊222,在工件200上方沉積虛設介電層、虛設閘極電極層以及閘頂硬遮罩層。這些膜層的沉積可以包括使用低壓CVD(low-pressure CVD, LPCVD)、CVD、電漿輔助CVD(plasma-enhanced CVD, PECVD)、PVD、ALD、熱氧化、電子束蒸鍍或其他合適的沉積技術或其組合。虛設介電層可以包括氧化矽,虛設閘極電極層可以包括多晶矽,並且閘頂硬遮罩層可以是包括氧化矽以及氮化矽的多層。可以使用微影以及蝕刻製程對閘頂硬遮罩層進行圖案化。微影製程可以包括光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如,旋轉乾燥及/或硬烘烤)、其他合適的微影技術及/或其組合。蝕刻製程可以包括乾式蝕刻(例如RIE蝕刻)、濕式蝕刻及/或其他蝕刻方法。之後,使用圖案化的閘頂硬遮罩作為蝕刻遮罩,然後蝕刻虛設介電層以及虛設閘極電極層以形成虛設閘極堆疊222。如第8圖所示,在隔離部件214、混合鰭片217以及部份的第一鰭狀結構209上形成虛設閘極堆疊222。虛設閘極堆疊222沿著X方向縱向延伸以包繞在第一鰭狀結構209上。在虛設閘極堆疊222下方的第一鰭狀結構209部份為通道區。通道區以及虛設閘極堆疊222也定義未被虛設閘極堆疊222垂直重疊的源極/汲極區。通道區沿著Y方向設置在兩個源極/汲極區之間。
儘管未明確示出,但是步驟114處的操作可以包括在虛設閘極堆疊222的頂表面上以及側壁上形成閘極間隔物層。在一些實施例中,形成閘極間隔物層包括在工件200上順應性沉積一個或多個介電層。在示例的製程中,可以使用CVD、SACVD或ALD沉積一個或多個介電層。一個或多個介電層可以包括氧化矽、氮化矽、碳化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽及/或其組合。
參照第1圖以及第9圖,方法100包括步驟116,步驟116凹蝕第一鰭狀結構209的源極/汲極部份以形成源極/汲極凹口224。應當理解,第9圖中的剖面橫切第一鰭狀結構209的源極區或汲極區,並且第一鰭狀結構的通道區不在剖面平面內。為了說明的目的,在第9圖中以虛線示出通道區中的結構。在示例製程中,在沉積閘極間隔物層之後蝕刻工件200,其蝕刻製程選擇性地凹蝕第一鰭狀結構209的源極/汲極區。源極/汲極區的選擇性凹蝕導致在混合鰭片217之間的源極/汲極溝槽224。步驟116處的蝕刻製程可以是乾式蝕刻製程或合適的蝕刻製程。示例的乾式蝕刻製程可以施用含氧氣體、氫氣、含氟氣體(例如CF4 、SF6 、CH2 F2 、CHF3 及/或C2 F6 )、含氯氣體(例如Cl2 、CHCl3 、CCl4 及/或BCl3 )、含溴氣體(例如HBr及/或CHBR3 )、含碘氣體、其他合適的氣體及/或電漿及/或其組合。如第9圖所示,在通道區中的犧牲層206以及通道層208的側壁在源極/汲極溝槽224中露出。
參照第1圖以及第10圖,方法100包括步驟118,步驟118形成內間隔物部件226。在步驟118處,在源極/汲極溝槽224中露出的犧牲層206被選擇性地且部份地凹蝕以形成內間隔物凹口,而露出的通道層208大抵未被蝕刻。在通道層208主要由矽(Si)形成並且犧牲層206主要由矽鍺(SiGe)形成的實施例中,犧牲層206的選擇性和部份凹蝕可以包括SiGe氧化製程,之後去除SiGe氧化物。在上述實施例中,SiGe氧化製程可以包括使用臭氧(O3 )。在一些其他實施例中,選擇性凹蝕可以是選擇性等向性蝕刻製程(例如,選擇性乾式蝕刻製程或選擇性濕式蝕刻製程),並且犧牲層206凹蝕的程度由蝕刻製程的持續時間控制。選擇性乾式蝕刻製程可以包括使用一種或多種氟基蝕刻劑,例如氟氣或氫氟碳化物。選擇性濕式蝕刻製程可以包括氟化氫(HF)或NH4 OH蝕刻劑。在形成內間隔物凹口之後,將內間隔物材料層沉積在工件200上,包括沉積在內間隔物凹口中。內間隔物材料層可以包括氧化矽、氮化矽、碳氧化矽、碳氮氧化矽、碳氮化矽、金屬氮化物或合適的介電材料。之後回蝕沉積的內間隔物材料層,以去除閘極間隔物層上以及通道層208的側壁上多餘的內間隔物材料層,從而形成如第10圖所示的內間隔物部件226。在一些實施例中,步驟118處的回蝕製程可以是乾式蝕刻製程,包括使用含氧氣體、氫氣、氮氣、含氟氣體(例如CF4 、SF6 、CH2 F2 、CHF3 及/或C2 F6 )、含氯氣體(例如Cl2 、CHCl3 、CCl4 及/或BCl3 )、含溴氣體(例如HBr及/或CHBR3 )、含碘氣體(例如CF3 I)、其他合適的氣體及/或電漿及/或其組合。
參照第1圖、第11A圖以及第11B圖,方法100包括步驟120,步驟120在源極/汲極溝槽224中形成第一源極部件228S和第一汲極部件228D。應當理解,源極區200S和汲極區200D在第11A圖以及第11B圖中分別示出。相似地,在第12A至17A圖中示出源極區200S,並且在第12B至17B圖示出汲極區200D。在一些實施例中,可以使用磊晶製程,例如VPE、UHV-CVD、MBE及/或其他合適的製程以形成第一源極部件228S以及第一汲極部件228D。磊晶成長製程可以使用氣態及/或液態前驅物,其與基板202以及通道層208的組成相互作用。因此,第一源極部件228S以及第一汲極部件228D耦合至通道層208或釋出的通道。取決於要形成的MBC電晶體的導電類型,第一源極部件228S以及第一汲極部件228D可以是n型源極/汲極部件或p型源極/汲極部件。示例的n型源極/汲極部件可以包括Si、GaAs、GaAsP、SiP或其他合適的材料,並且可以在磊晶製程期間通過引入n型摻質,例如磷(P)、砷(As)進行原位(in-situ)摻雜,或使用佈植製程(例如,接面佈植製程)進行異位(ex-situ)摻雜。示例的p型源極/汲極部件可以包括Si、Ge、AlGaAs、SiGe、摻硼SiGe或其他合適的材料,並且可以在磊晶製程期間通過引入p型摻質,例如硼(B)進行原位摻雜,或使用佈植製程(例如,接面佈植製程)進行異位摻雜。
參照第1圖、第12A圖以及第12B圖,方法100包括步驟122,步驟122以第一閘極結構(未示出)替換虛設閘極堆疊222。步驟122處的操作包括:沉積第一接觸蝕刻停止層(contact etch stop layer, CESL)230;沉積第一層間介電(interlayer dielectric, ILD)層232;去除虛設閘極堆疊222;選擇性去除犧牲層206以釋出通道構件;形成第一閘極結構;以及平坦化工件200以去除多餘的材料。第一CESL 230可以包括氮化矽、氮氧化矽及/或本領域中已知的其他材料,並且可以通過ALD、電漿輔助化學氣相沉積(PECVD)製程及/或其他合適的沉積或氧化製程形成。如第12A以及12B圖所示,第一CESL 230可以沉積在第一源極部件228S、第一汲極部件228D以及混合鰭片217的頂表面上。第一ILD層232的材料可以包括例如原矽酸四乙酯(tetraethylorthosilicate, TEOS)氧化物、未摻雜的矽酸鹽玻璃或摻雜的矽氧化物,例如硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、熔融石英玻璃(fused silica glass, FSG)、磷矽酸鹽玻璃(phosphoric silicate glass, PSG)、摻硼矽玻璃(boron doped silicon glass, BSG)及/或其他合適的介電材料。可以通過PECVD製程或其他合適的沉積技術沉積第一ILD層232。在一些實施例中,在形成第一ILD層232之後,可以對工件200進行退火以改善第一ILD層232的完整性(integrity)。為了去除多餘的材料並露出虛設閘極堆疊222的頂表面,可以執行平坦化製程,例如化學機械研磨(CMP)製程。
在虛設閘極堆疊222露出的情況下,步驟122去除虛設閘極堆疊222。去除虛設閘極堆疊222可以包括對虛設閘極堆疊222中的材料具有選擇性的一種或多種蝕刻製程。例如,可以使用選擇性濕式刻蝕、選擇性乾式刻蝕或其組合以去除虛設閘極堆疊222。在去除虛設閘極堆疊222之後,露出位於通道區中及位於源極區200S以及汲極區200D之間的通道層208以及犧牲層206的側壁。之後,選擇性地去除通道區中的犧牲層206,以釋出作為通道構件的通道層208。此處,因為通道構件的尺寸是奈米級的,所以通道構件也可以被稱為奈米結構。犧牲層206的選擇性去除可以通過選擇性乾式蝕刻、選擇性濕式蝕刻或其他選擇性蝕刻製程實現。在一些實施例中,選擇性濕式蝕刻包括APM蝕刻(例如,氨水-過氧化氫-水的混合物)。在一些實施例中,選擇性去除包括SiGe氧化,之後去除SiGe氧化物。例如,可以通過臭氧清潔提供氧化,之後通過例如NH4 OH的蝕刻劑去除SiGe氧化物。
在釋出通道構件的情況下,沉積第一閘極結構(其視圖被第一源極部件228S阻擋)以包繞通道區中的每個通道構件。閘極結構包括圍繞通道構件並與通道構件接觸的界面層,在界面層之上的閘極介電層,以及在閘極介電層之上的閘極電極層。在一些實施例中,界面層包括氧化矽並且可以在預清潔製程中形成。示例性的預清潔製程可以包括使用RCA SC-1(氨、過氧化氫以及水)及/或RCA SC-2(鹽酸、過氧化氫以及水)。之後使用ALD、CVD及/或其他合適的方法將閘極介電層沉積在界面層上。閘極介電層可以由高介電常數介電材料形成。如本揭露所使用和描述,高介電常數介電材料包括具有高介電常數的介電材料,例如,其介電常數大於熱氧化矽的介電常數(〜3.9)。閘極介電層可以包括氧化鉿。替代地,閘極介電層可以包括其他高介電常數介電質,例如TiO2 、HfZrO、Ta2 O5 、HfSiO4 、ZrO2 、ZrSiO2 、La2 O3 、Al2 O3 、ZrO、Y2 O3 、SrTiO3 (STO)、BaTiO3 (BTO)、BaZrO、HfLaO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba、Sr)TiO3 (BST)、SiN、SiON、其組合或其他合適的材料。
之後使用ALD、PVD、CVD、電子束蒸鍍或其他合適的方法將閘極電極層沉積在閘極介電層上。閘極電極層可以包括單層或多層結構,例如以下的各種組合:具有選定的功函數以增強裝置性能的金屬層(功函數金屬層)、襯層、潤濕層、黏著層 、金屬合金或金屬矽化物。舉例來說,閘極電極層可以包括氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN) 、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化鉭矽(TaSiN)、銅 (Cu)、其他耐火(refractory)金屬或其他合適的金屬材料或其組合。此外,在半導體裝置200包括n型電晶體和p型電晶體的情況下,可以為n型電晶體和p型電晶體分別形成不同的閘極電極層,n型電晶體和p型電晶體可以包括不同的金屬層(例如,提供不同的n型和p型功函數金屬層)。
參照第1圖、第13A圖以及第13B圖,方法100包括步驟124,步驟124形成第一汲極接觸件234。在示例製程中,使用微影製程形成露出第一汲極部件228D的接觸開口。為了減小接觸電阻,可以通過在第一汲極部件228上沉積金屬層,並執行退火製程以在金屬層以及第一汲極部件228之間引起矽化(silicidation),以在第一汲極部件228D上形成矽化物層。合適的金屬層可以包括鈦(Ti)、鉭(Ta)、鎳(Ni)、鈷(Co)或鎢(W)。矽化物層可以包括矽化鈦(TiSi)、氮化鈦矽(TiSiN)、矽化鉭(TaSi)、矽化鎢(WSi)、矽化鈷(CoSi)或矽化鎳(NiSi)。在形成矽化物層之後,可以將金屬填充層沉積到接觸開口中。金屬填充層可以包括氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN)。可以接著進行平坦化製程以提供平坦的頂表面,從而為後續製程設置平台(stage)。
參照第1圖、第14A圖以及第14B圖,方法100包括步驟126,步驟126將第二堆疊240接合至工件200上。在一些實施例中,在工件200上毯覆地(blanketly)沉積蓋層236。在一些實施方式中,蓋層236包括氧化矽並且也可以稱為蓋氧化物層236。如第一堆疊204,第二堆疊240也包括與複數個犧牲層206交錯的複數個通道層208。在第14A圖以及第14B圖所示的實施例中,第一堆疊204以及第二堆疊240具有相同數量的通道層208以及犧牲層。然而,本揭露不限於此,第一堆疊204以及第二堆疊240可以具有不同的配置,例如不同數量的膜層或不同厚度的膜層。為了促進接合,在第二堆疊240的底表面上形成基底層238。相對於基板202,第二堆疊以及基底層238可以被視為另一基板。在一些實施例中,基底層238 包括氧化矽,並且也可以稱為基底氧化物層238。應當理解,為了避免疑慮,在第14A以及14B圖中分別示出的第二堆疊240是相同的。在一些實施例中,第二堆疊240可以通過利用蓋層236以及基底層238之間的界面直接接合到工件200。在示例的直接接合製程中,使用RCA SC-1(氨、過氧化氫以及水)及/或RCA SC-2(鹽酸、過氧化氫以及水)清潔蓋層236以及基底層238。之後將清潔的蓋層236以及基底層238配對(mate)並壓合在一起。可以通過退火製程強化(strength)直接接合。
參照第1圖、第15A圖以及第15B圖,方法100包括步驟128,步驟128對第二堆疊240執行步驟104、108-122中的操作。由於製程步驟的相似性,出於簡潔僅總結步驟128中的操作。在步驟104處,對第二堆疊240進行圖案化以形成第二鰭狀結構(其視圖被其他結構阻擋)。因為第二鰭狀結構通過蓋層236以及基底層238絕緣,所以可以省略步驟106處的操作。在步驟108、110以及112處,頂部混合鰭片242形成在第二鰭狀結構的兩側上,並且平行於第二鰭狀結構延伸。在步驟114處,在第二鰭狀結構的通道區上方形成對應的(counterpart)虛設閘極堆疊,以用作功能性第二閘極結構的佔位符。在步驟116處,凹蝕第二鰭狀結構的源極/汲極部份以形成源極/汲極凹口,相似於源極/汲極溝槽224。在步驟118處,選擇性地且部份地蝕刻通道區中的犧牲層206以形成內間隔物凹口,並且在內間隔物凹口中形成內間隔物部件。在步驟120處,在源極/汲極凹口中形成第二源極部件244S以及第二汲極部件244D。在步驟122處,第二鰭狀結構上方的虛設閘極堆疊被第二閘極結構替換。選擇性地去除通道區中的犧牲層206以釋出通道層208作為通道構件,並且第二閘極結構包繞每個通道構件。在替換虛設閘極堆疊之前,第二CESL 246以及第二ILD層248依序地沉積在頂部混合鰭片242、第二源極部件244S以及第二汲極部件244D的上方。
參照第1圖、第16A圖以及第16B圖,方法100包括步驟130,步驟130形成頂部源極接觸件250、第二汲極接觸件252、第一接觸導孔258、第二接觸導孔260以及第三接觸導孔262。如第17A圖所示,頂部源極接觸件250形成在第二源極部件244S之上並與之接觸。相似於第一汲極接觸件234,首先形成接觸開口以露出第二源極部件244S,在第二源極部件244S上形成矽化物層,並且沉積金屬填充層以填充剩餘的接觸開口。以相似的方式,第二汲極接觸件252形成在第二汲極部件244D之上並與之接觸。在形成頂部源極接觸件250以及第二汲極接觸件252之後,蝕刻停止層(etch stop layer, ESL)254和第三ILD層256沉積在頂部源極接觸件250以及第二汲極接觸件252上方以保護(passivate)頂部源極接觸件250以及第二汲極接觸件252。
第一接觸導孔258、第二接觸導孔260以及第三接觸導孔262的形成可以包括形成至少穿過ESL 254和第三ILD層256的導孔開口以及沉積金屬填充層。金屬填充層可以包括氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN)。在一些實施例中,每個第一接觸導孔258,第二接觸導孔260以及第三接觸導孔262可以包括在金屬填充層以及鄰近的介電材料之間的襯層,以改善電性完整性(electrical integrity)。襯層可以包括鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鈷(CoN)、氮化鎳(NiN)或氮化鉭(TaN)。因為形成第二接觸導孔260需要形成不僅延伸穿過ESL 254以及第三ILD層256而且延伸穿過第二ILD層248、第二CESL 246、頂部混合鰭片242、基底層238以及蓋層236的導孔開口,所以用於第二接觸導孔260的導孔開口不與用於第一接觸導孔258以及第三接觸導孔262的導孔開口同時形成。在一些其他實施例中,單獨形成用於第二接觸導孔260的導孔開口,並且在多個蝕刻階段中蝕刻。
參照第1圖、第16A圖以及第16B圖,方法100包括步驟132,步驟132形成頂部互連結構270。頂部互連結構270包括第一保護層263以及在第一保護層263中的導電部件。在所描繪的實施例中,導電部件包括頂部電源軌264、第一導線266以及第二導線268。頂部電源軌264與第一接觸導孔258直接接觸。換句話說,第一接觸導孔258將頂部電源軌264以及第二源極部件244S電性耦合。此處,頂部電源軌264(或其他電源軌)之所以這樣稱呼,是因為它提供正電源電壓。在示例製程中,將第一保護層263沉積在工件200上,之後對第一保護層263進行圖案化,並且將導電材料沉積在圖案化的第一保護層263上。儘管第16A以及16B圖中的頂部互連結構270僅包括一個互連層,但是頂部互連結構270可以包括更多的互連層,並且可以包括工件200上的所有互連層。如第16B圖所示,第二接觸導孔260與第一導線266直接接觸,並且第三接觸導孔262與第二導線268直接接觸。
參照第1圖、第17A圖以及第17B圖,方法100包括步驟134,步驟134形成背側源極接觸件274。儘管在第17A圖以及第17B圖中未如此示出,但是可以將工件200接合到載體基板並上下翻轉並執行步驟134的操作。在示例製程中,通過研磨製程及/或化學機械研磨(CMP)製程對基板202進行研磨或平坦化,直到露出隔離部件214。在第一圖案化硬遮罩覆蓋源極區200S的情況下,選擇性地去除汲極區200D中的基底部份209B以露出第一汲極部件228D。第一氮化物襯層276以及介電填充物282可以沉積在第一汲極部件228D上方以使其絕緣。在一些示例中,第一氮化物襯層276可以包括氮化矽、氮氧化矽或碳氮化矽,並且介電填充物282可以包括氧化矽。之後去除第一圖案化遮罩,並且形成第二圖案化遮罩以覆蓋汲極區200D。形成背側接觸開口以露出第一源極部件228S。第二氮化物襯層277沉積在背側接觸開口上方並且被回蝕以露出第一源極部件228S。如第17A圖所示,在背側接觸開口中形成背側矽化物層272以及背側源極接觸件274。背側矽化物層272可以包括矽化鈦(TiSi)、氮化鈦矽(TiSiN)、矽化鉭(TaSi)、矽化鎢(WSi)、矽化鈷(CoSi)或矽化鎳(NiSi)。背側源極接觸件274可以包括氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭( Ta)或氮化鉭(TaN)。
參照第1圖、第17A圖以及第17B圖,方法100包括步驟136,步驟136形成背側互連結構290。在所描繪的實施例中,背側互連結構290包括在第二保護層278中的第一背側電源軌279。第一背側電源軌279與背側源極接觸件274直接接觸。因此,第一背側電源軌279耦合至第一源極部件228S,而第一背側電源軌279通過第一氮化物襯層276以及介電填充物282與第一汲極部件228D絕緣。此處,與頂部電源軌264相似,第一背側電源軌279被如此稱呼是因為它提供正電源電壓。在示例製程中,將第二保護層278沉積在露出的隔離部件214上方,之後對第二保護層278進行圖案化,並將導電材料沉積在圖案化的第二保護層278上。
現在參照第17A以及17B圖。在方法100中的操作結束之後,形成第一MBC電晶體10以及在第一MBC電晶體10上方的第二MBC電晶體20。第一MBC電晶體10包括夾設在第一源極部件228S以及第一汲極部件228D之間的通道構件。第一MBC電晶體10的第一閘極結構(其視圖被第一源極部件228S阻擋)包繞其每個通道構件。第二MBC電晶體20包括夾設在第二源極部件244S以及第二汲極部件244D之間的通道構件。第二MBC電晶體20的第二閘極結構(其視圖被第二源極部件244S以及第二汲極部件244D阻擋)包繞其每個通道構件。第一源極部件228S通過背側源極接觸件274耦合到第一背側電源軌279。第一背側電源軌279設置在背側互連結構290中。第二源極部件244S通過頂部源極接觸件250以及第一接觸導孔258耦合到頂部電源軌264。頂部電源軌264設置在頂部互連結構270中。第一汲極部件228D以及第二汲極部件244D皆電性耦合至頂部互連結構270中的導電部件,但與背側互連結構290絕緣。第一汲極部件228D通過第一汲極接觸件234以及第二接觸導孔260耦合到第一導線266。第二接觸導孔260沿著Z方向延伸穿過頂部混合鰭片242。第二汲極部件244D通過第三接觸導孔262耦合到第二導線268。
現在轉向方法300。第18圖根據本揭露的各種方面,繪示方法300的流程圖。在本揭露中,相似的附圖標記在組成和形成方面表示相似的部件。若方法100已經描述相似的細節,則可以簡化或省略方法300中一些操作的細節。
參照第18圖以及第19圖,方法300包括步驟302,步驟302提供工件200。工件200包括基板202和在基板202上方的第一堆疊204。由於以上已經描述基板202和第一堆疊204,在此省略其詳細描述。
參照第18圖以及第20圖,方法300包括步驟304,步驟304由第一堆疊204形成第一鰭狀結構209。由於步驟304的操作與步驟104的操作相似,為簡潔起見省略其詳細描述。
參照第18圖以及第21圖,方法300包括步驟306,步驟306形成隔離部件214。由於步驟306處的操作與步驟106處的操作相似,為簡潔起見省略其詳細描述。
參照第18圖以及第22圖,方法300包括步驟308,步驟308在第一鰭狀結構209以及隔離部件214上沉積犧牲間隔物層216。由於步驟308的操作與步驟108的操作相似,為簡潔起見省略其詳細描述。
參照第18圖、第23圖以及第24圖,方法300包括步驟310,步驟310在犧牲間隔物層216上方沉積第二介電層2180、導電層219和第三介電層221。第二介電層2180可以順應地沉積在工件200上方,包括在犧牲間隔物層216上方。如第23圖所示,與第一介電層218不同,第二介電層2180並未完全填充由犧牲間隔物層216的側壁所定義的溝槽。在順應沉積第二介電層2180之後,在第二介電層2180上方沉積導電層219,以完全填充由犧牲間隔物層216的側壁定義的溝槽。導電層219可以包括導電材料,例如氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN)。之後凹蝕導電層219直到導電層219的頂表面低於第二介電層2180的頂表面。因此,如第24圖所示,在第一鰭狀結構209的兩側上形成隔離的導電部件219。之後將第三介電層221沉積在導電部件219以及第二介電層2180上。因此,導電部件219被埋入(buried)或嵌入(embedded)在第二介電層2180和第三介電層221中。第二介電層2180以及第三介電層221可以包括氮化矽、氧化鉿、氧化鋁、氧化鋯或允許選擇性蝕刻犧牲間隔物層216的介電材料。可以使用CVD或ALD沉積第二介電層2180以及第三介電層221。儘管未在圖中明確示出,可以對工件200執行例如化學機械研磨(CMP)製程的平坦化製程以露出第一鰭狀結構209的頂表面。平坦化製程也露出犧牲間隔物層216的頂表面。
參照第18圖以及第25圖,方法300包括步驟312,步驟312選擇性地回蝕犧牲間隔物層216以釋出第一鰭狀結構209的堆疊部份209S。由於步驟312處的操作與步驟112處的操作相似,為簡潔起見省略其詳細描述。關於方法300,作為步驟312操作的結果,形成模組化(modular)混合鰭片2170。模組化混合鰭片2170平行於第一鰭狀結構209延伸。每個模組化混合鰭片2170包括嵌入其中的導電部件219。如以下將進一步描述,模組化混合鰭片2170可以用作接觸模組以在需要時提供線路路徑(routing pathway)。當實施模組化混合鰭片時,接觸導孔可以具有較小的深寬比(aspect ratio),因為它們可以起始(originate)並終止(end)於模組化混合鰭片中的嵌入式導電部件。
參照第18圖以及第26圖,方法300包括步驟314,步驟314在堆疊部份209S以及模組化混合鰭2170上形成虛設閘極堆疊222。由於步驟314的操作與步驟114的操作相似,為簡潔起見省略其詳細描述。
參照第18圖以及第27圖,方法300包括步驟316,步驟316凹蝕第一鰭狀結構209的源極/汲極部份以形成源極/汲極凹口224。由於步驟316的操作與步驟116的操作相似,為簡潔起見省略其詳細描述。
參照第18圖以及第28圖,方法300包括步驟318,步驟318形成內間隔物部件226。由於步驟318的操作與步驟118的操作相似,為簡潔起見省略其詳細描述。
參照第18圖、第29A圖以及第29B圖,方法300包括步驟320,步驟320在源極/汲極溝槽224中形成第一源極部件228S以及第一汲極部件228D。應當理解,在第29A圖以及第29B圖中分別示出源極區200S和汲極區200D。相似地,在第30A-35A圖中示出源極區200S,在第30B-35B圖中示出汲極區200D。由於步驟320的操作與步驟120的操作相似,為簡潔起見省略其詳細描述。
參照第18圖、第29A圖以及第29B圖,方法300包括步驟322,步驟322以第一閘極結構(其視圖被第一源極部件228S阻擋)替換虛設閘極堆疊222。由於步驟322的操作與步驟122的操作相似,為簡潔起見省略其詳細描述。
參照第18圖、第30A圖以及第30B圖,方法300包括步驟324,步驟324形成第一源極接觸件235以及第一汲極接觸件234。在示例製程中,微影製程用於形成露出第一源極部件228S以及第一汲極部件228D的接觸開口。為了減小接觸電阻,可以通過在第一源極部件228S以及第一汲極部件228上沉積金屬層以在第一源極部件228S以及第一汲極部件228D上形成矽化物層,並執行退火製程以在金屬層與第一源極部件228S之間以及在金屬層與第一汲極部件228D之間引起矽化。合適的金屬層可以包括鈦(Ti)、鉭(Ta)、鎳(Ni)、鈷(Co)或鎢(W)。矽化物層可以包括矽化鈦(TiSi)、氮化鈦矽(TiSiN)、矽化鉭(TaSi)、矽化鎢(WSi)、矽化鈷(CoSi)或矽化鎳(NiSi)。在形成矽化物層之後,可以將金屬填充層沉積到接觸開口中。金屬填充層可以包括氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN)。可以接著進行平坦化製程以提供平坦的頂表面,從而為後續製程設置平台。應當理解,選擇第一源極接觸件235的位置以及X方向尺寸,使其側壁與鄰近的導電部件219接觸或合併。相反地,選擇第一汲極接觸件234的位置以及X方向尺寸,使其側壁或任何部份與鄰近的導電部件219隔開。
參照第18圖、第31A圖以及第31B圖,方法300包括步驟326,步驟326將第二堆疊240接合至工件200上。由於步驟326的操作與步驟126的操作相似,為簡潔起見省略其詳細描述。
參照第18圖、第32A圖、第32B圖、第33A圖以及第33B圖,方法300包括步驟128,步驟328對第二堆疊240執行步驟304、308-322中的操作。由於製程步驟的相似性,出於簡潔僅總結步驟328中的操作。參照第32A圖以及第32B圖,在步驟304處對第二堆疊240進行圖案化以形成第二鰭狀結構2090。如第32A圖以及第32B圖所示,第二鰭狀結構2090與第一鰭狀結構209垂直對準。其通過第二鰭狀結構2090以及基底部份209B之間的垂直對準證明。因為第二鰭狀結構通過蓋層236以及基底層238絕緣,所以可以省略步驟306處的操作。繼續參照第32A圖以及第32B圖,在步驟308、310以及312處,頂部模組化混合鰭片2172形成在第二鰭狀結構2090的兩側上,並且平行於第二鰭狀結構2090縱向延伸。每個頂部模組化混合鰭片2172包括嵌入在第四介電層241以及第五介電層243中的頂部導電部件239。頂部導電部件239以及導電部件219可以具有相同的組成。第四介電層241以及第五介電層243具有與第二介電層2180相同的組成。如第32A圖所示,形成橋接(bridging)接觸導孔237以電性耦合模組化混合鰭片2170中的導電部件219以及頂部模組化混合鰭片2172中的頂部導電部件239。為了形成橋接接觸導孔237,在沉積第四介電層241之後,在待連接的導電部件219的正上方形成導孔。當沉積頂部導電部件239時,同時形成橋接接觸導孔237以及與其連接的頂部導電部件239。
參照第33A圖以及第33B圖,在步驟314處,在第二鰭狀結構的通道區上方形成對應的虛設閘極堆疊,以用作功能性第二閘極結構的佔位符。在步驟316處,凹蝕第二鰭狀結構的源極/汲極部份以形成源極/汲極凹口,相似於源極/汲極溝槽224。在步驟318處,選擇性地且部份地蝕刻通道區中的犧牲層206以形成內間隔物凹口,並且在內間隔物凹口中形成內間隔物部件。在步驟320處,在源極/汲極凹口中形成第二源極部件244S以及第二汲極部件244D。在步驟322處,第二鰭狀結構上方的虛設閘極堆疊被第二閘極結構(未示出)替換。選擇性地去除通道區中的犧牲層206以釋出通道層208作為通道構件,並且第二閘極結構包繞每個通道構件。在替換虛設閘極堆疊之前,第二CESL 246以及第二ILD層248依序地沉積在頂部模組化混合鰭片2172、第二源極部件244S以及第二汲極部件244D之上。
參照第18圖、第34A圖以及第34B圖,方法300包括步驟330,步驟330形成頂部源極接觸件250、第二汲極接觸件252、第二接觸導孔260以及第三接觸導孔262。如第34A圖所示,頂部源極接觸件250形成在第二源極部件244S之上並與之接觸。首先形成接觸開口以露出第二源極部件244S,在第二源極部件244S上形成矽化物層,並且沉積金屬填充層以填充剩餘的接觸開口。以相似的方式,第二汲極接觸件252形成在第二汲極部件244D之上並與之接觸。在形成頂部源極接觸件250以及第二汲極接觸件252之後,蝕刻停止層(ESL)254以及第三ILD層256沉積在頂部源極接觸件250以及第二汲極接觸件252上方以保護(passivate)頂部源極接觸件250以及第二汲極接觸件252。應當理解,選擇頂部源極接觸件250的位置以及X方向尺寸,使其側壁與耦合到橋接接觸件導孔237的頂部導電部件239接觸或合併。相反地,選擇第二汲極接觸件252的位置以及X方向尺寸,使其側壁或其任何部份與鄰近的頂部導電部件239隔開。
第二接觸導孔260以及第三接觸導孔262的形成可以包括形成至少穿過ESL 254和第三ILD層256的導孔開口以及沉積金屬填充層。金屬填充層可以包括氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN)。在一些實施例中,每個第二接觸導孔260以及第三接觸導孔262可以包括在金屬填充層和鄰近的介電材料之間的襯層,以改善電性完整性。襯層可以包括鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鈷(CoN)、氮化鎳(NiN)或氮化鉭(TaN)。因為形成第二接觸導孔260需要形成不僅延伸穿過ESL 254以及第三ILD層256而且延伸穿過第二ILD層248、第二CESL 246、(頂部模組化混合鰭片2172的)第四介電層241、基底層238以及蓋層236的導孔開口,所以用於第二接觸導孔260的導孔開口不與用於第三接觸導孔262的導孔開口同時形成。在一些其他實施例中,單獨形成用於第二接觸導孔260的導孔開口,並且在多個蝕刻階段中蝕刻。第二接觸導孔260與第二汲極部件244D和鄰近的頂部導電部件239隔開並隔離。應當理解,在方法300中,在頂部源極接觸件250上未形成接觸導孔。
參照第18圖、第34A圖以及第34B圖,方法300包括步驟332,步驟332形成頂部互連結構270。頂部互連結構270包括第一保護層263以及在第一保護層263中的導電部件。在第34A圖以及第34B圖所描繪的實施例中,導電部件包括第一導線266以及第二導線268。在示例製程中,將第一保護層263沉積在工件200上,之後對第一保護層263進行圖案化,並且將導電材料沉積在圖案化的第一保護層263上。儘管第35A以及35B圖中的頂部互連結構270僅包括一個互連層,但是頂部互連結構270可以包括更多的互連層,並且可以包括工件200上的所有互連層。如第35B圖所示,第二接觸導孔260與第一導線266直接接觸,並且第三接觸導孔262與第二導線268直接接觸。
參照第18圖、第35A圖以及第35B圖,方法300包括步驟334,步驟334形成第一背側接觸導孔281以及第二背側接觸導孔283。儘管在第35A圖以及第35B圖中未如此示出,但是可以將工件200接合到載體基板並上下翻轉並執行步驟334的操作。在示例製程中,通過研磨製程及/或化學機械研磨(CMP)製程對基板202進行研磨或平坦化,直到露出隔離部件214。隔離部件214中的基底部份209B被移除並被第一氮化物襯層276以及介電填充物282替換,其中第一氮化物襯層276以及介電填充物282作為用於隔離的介電插塞。在一些示例中,第一氮化物襯層276可以包括氮化矽、氮氧化矽或碳氮化矽,並且介電填充物282可以包括氧化矽。形成背側接觸開口穿過隔離部件214,從而露出模組化混合鰭片2170中的導電部件219。之後在背側接觸開口中沉積金屬填充層以形成第一背側接觸導孔281以及第二背側接觸導孔283。示例的金屬填充層可以包括氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN)。通過導電部件219與第一源極接觸件235接觸,第一背側接觸導孔281電性耦合至第一源極接觸件235。通過另一個導電部件219、橋接接觸導孔237以及頂部導電部件239與頂部源極接觸件250接觸,第二背側接觸導孔283電性耦合到頂部源接觸件250。
參照第18圖、第35A圖以及第35B圖,方法300包括步驟336,步驟336形成背側互連結構290。在所描繪的實施例中,背側互連結構290包括第二保護層278、第一背側電源軌279以及第二背側電源軌280。第一背側電源軌279與第一背側接觸導孔281直接接觸,且第二背側電源軌280與第二背側接觸導孔283直接接觸。因此,第一背側電源軌279耦合到第一源極部件228S,且第二背側電源軌280耦合到第二源極部件244S。此處,與頂部電源軌264相似,第一背側電源軌279以及第二背側電源軌280被如此稱呼是因為它提供正電源電壓。在示例製程中,將第二保護層278沉積在露出的隔離部件214上方,之後對第二保護層278進行圖案化,並將導電材料沉積在圖案化的第二保護層278上。
現在參照第35A圖以及第35B圖。在方法300中的操作結束之後,形成第一MBC電晶體10以及在第一MBC電晶體10上方的第二MBC電晶體20。第一MBC電晶體10包括夾設在第一源極部件228S以及第一汲極部件228D之間的通道構件。第一MBC電晶體10的第一閘極結構(其視圖被第一源極部件228S阻擋)包繞其每個通道構件。第二MBC電晶體20包括夾設在第二源極部件244S以及第二汲極部件244D之間的通道構件。第二MBC電晶體20的第二閘極結構(其視圖被第二源極部件244S阻擋)包繞其每個通道構件。第一源極部件228S通過第一源極接觸件235、模組化混合鰭2170中的導電部件219以及第一背側接觸導孔281耦合到第一背側電源軌279。第二源極部件244S通過頂部源極接觸件250、頂部模組化混合鰭片2172中的頂部導電部件239、橋接接觸導孔237、模組化混合鰭2170中的導電部件219以及第二背側接觸導孔283耦合到第二背側電源軌280。第一背側電源軌279以及第二背側電源軌280皆設置在背側互連結構290中。第一汲極部件228D以及第二汲極部件244D皆電性耦合至頂部互連結構270中的導電部件,但與背側互連結構290絕緣。第一汲極部件228D通過第一汲極接觸件234以及第二接觸導孔260耦合到第一導線266。第二接觸導孔260沿著Z方向延伸穿過頂部模組化混合鰭片2172的第四介電層241。第二汲極部件244D通過第二汲極接觸件252以及第三接觸導孔262耦合到第二導線268。
現在轉向方法500。第36圖根據本揭露的各種方面,繪示方法500的流程圖。在本揭露中,相似的附圖標記在組成以及形成方面表示相似的部件。若方法100或方法300已經描述相似的細節,則可以簡化或省略方法500中一些操作的細節。
參照第36圖以及第37圖,方法500包括步驟502,步驟502提供工件200。由於步驟502的操作與步驟102的操作相似,為簡潔起見省略其詳細描述。
參照第36圖以及第38圖,方法500包括步驟504,步驟504由第一堆疊204形成第一鰭狀結構209。由於步驟504的操作與步驟104的操作相似,為簡潔起見省略其詳細描述。
參照第36圖以及第39圖,方法500包括步驟506,步驟506形成埋入式(buried)電源軌211。在一些實施例中,在回蝕第一襯層210之前,使用金屬有機CVD或PVD將用於埋入式電源軌211的金屬層沉積在工件200上。凹蝕第一襯層以及沉積的金屬層以形成埋入式電源軌211。埋入式電源軌211的金屬層可以包括鎢(W)、釕(Ru)、銅(Cu)、鋁(Al)、銀(Ag)、鉬(Mo)、錸(Re)、銥(Ir)、鈷(Co)或鎳(Ni)。在所描繪的實施例中,每個埋入式電源軌211包括大約40奈米至80奈米之間的寬度W以及大約30奈米至大約50奈米之間的高度H。如第39圖所示,可以在步驟506結束時露出第一鰭狀結構209的堆疊部份209S。如第39圖所示,埋入式電源軌211包括第一埋入式電源軌211-1以及第二埋入式電源軌211-2。
參照第36圖以及第40圖,方法500包括步驟508,步驟508形成隔離部件214。在一些實施例中,為了保護埋入式電源軌211免受氧化,可以將第二襯層213沉積在埋入式電源軌211上方。在組成和形成的方面,第二襯層213可以相似於第一襯層210。如第41圖所示,埋入式電源軌211被第一襯層210以及第二襯層213環繞。之後在第二襯層213上形成隔離部件214。由於方法100已經描述隔離部件214的形成,為簡潔起見省略其詳細描述。在形成隔離部件214之後,選擇性地凹蝕第二襯層213直到露出第一鰭狀結構209的堆疊部份209S。
參照第36圖以及第41圖,方法500包括步驟510,步驟510在第一鰭狀結構209以及隔離部件214上沉積犧牲間隔物層216。由於步驟510的操作與步驟108的操作相似,為簡潔起見省略其詳細描述。
參照第36圖以及第41圖,方法500包括步驟512,步驟512在犧牲間隔物層216上方沉積第一介電層218。由於步驟512的操作與步驟110的操作相似,為簡潔起見省略其詳細描述。
參照第36圖以及第42圖,方法500包括步驟514,步驟514選擇性地回蝕犧牲間隔物層216以釋出第一鰭狀結構209的堆疊部份209S。由於步驟514的操作與步驟112的操作相似,為簡潔起見省略其詳細描述。在步驟514處的操作結束時,混合鰭片217形成在堆疊部份209S的兩側上。每個混合鰭片217包括犧牲間隔物層216以及在犧牲間隔物層216上方的第一介電層218。
參照第36圖以及第43圖,方法500包括步驟516,步驟516在堆疊部份209S以及混合鰭片217上方形成虛設閘極堆疊222。由於步驟516的操作與步驟114的操作相似,為簡潔起見省略其詳細描述。
參照第36圖以及第43圖,方法500包括步驟518,步驟518凹蝕第一鰭狀結構209的源極/汲極部份以形成源極/汲極凹口224。由於步驟518的操作與步驟116的操作相似,為簡潔起見省略其詳細描述。
參照第36圖以及第44圖,方法500包括步驟520,步驟520形成內間隔物部件226。由於步驟520的操作與步驟118的操作相似,為簡潔起見省略其詳細描述。
參照第36圖、第45A圖以及第45B圖,方法500包括步驟522,步驟522在源極/汲極溝槽224中形成第一源極部件228S和第一汲極部件228D。由於步驟522的操作與步驟120的操作相似,為簡潔起見省略其詳細描述。
參照第36圖、第45A圖以及第45B圖,方法500包括步驟524,步驟524以第一閘極結構(其視圖被第一源極部件228S阻擋)替換虛設閘極堆疊222。由於步驟524的操作與步驟122的操作相似,為簡潔起見省略其詳細描述。
參照第36圖、第46A圖以及第46B圖,方法500包括步驟526,步驟526形成第一汲極接觸件234、第一源極接觸件235以及第四接觸導孔215。在示例製程中,微影製程用於形成露出第一源極部件228S以及第一汲極部件228D的接觸開口。可以使用額外的微影製程以形成用於第四接觸導孔215的導孔開口,且導孔開口露出第一埋入式電源軌211-1。為了減小接觸電阻,可以通過在第一源極部件228S以及第一汲極部件228D上沉積金屬層以在第一源極部件228S以及第一汲極部件228D上形成矽化物層,並執行退火製程以在金屬層與第一源極部件228S之間以及在金屬層與第一汲極部件228D之間引起矽化。此處,合適的金屬層可以包括鈦(Ti)、鉭(Ta)、鎳(Ni)、鈷(Co)或鎢(W)。矽化物層可以包括矽化鈦(TiSi)、氮化鈦矽(TiSiN)、矽化鉭(TaSi)、矽化鎢(WSi)、矽化鈷(CoSi)或矽化鎳(NiSi)。在形成矽化物層之後,可以將金屬填充層沉積到接觸開口以及接觸導孔開口中。金屬填充層可以包括氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN)。可以接著進行平坦化製程以去除多餘的材料並形成第四接觸導孔215、第一源極接觸件235以及第一汲極接觸件234。
參照第36圖、第47A圖以及第47B圖,方法500包括步驟528,步驟528將第二堆疊240接合至工件200上。由於步驟528的操作與步驟126的操作相似,為簡潔起見省略其詳細描述。
參照第36圖、第48A圖、第48B圖、第49A圖以及第49B圖,方法500包括步驟530,步驟530對第二堆疊240執行步驟504、510-524中的操作。由於製程操作與上述相似,出於簡潔僅總結步驟530中的操作。參照第48A圖以及第48B圖,在步驟504處對第二堆疊240進行圖案化以形成第三鰭狀結構2092。與第二鰭狀結構2090不同,第三鰭狀結構2092不與第一鰭狀結構209垂直對準(其位置由基底部份209B標記)。從各自的中線測量,第三鰭狀結構2092被刻意地與第一鰭狀結構209偏置(offset)位移距離D。在一些示例中,位移距離D可以在大約5奈米至大約150奈米之間。對此,小於5奈米的位移距離落在一般的未對準範圍內,並且可能不足以產生益處。位移距離小於150奈米,大約是混合鰭片217的最大尺寸。如果位移距離大於150奈米,可能會降低減少寄生電容的益處。由於已經形成埋入式電源軌211,所以可以省略步驟506的操作。由於第三鰭狀結構2092通過蓋層236以及基底層238絕緣,所以可以省略步驟508的操作。繼續參照第48A圖以及第48B圖,在步驟510、512以及514處,頂部混合鰭片242形成在第三鰭狀結構2092的兩側上。在步驟516處,在第三鰭狀結構2092的通道區上方形成對應的虛設閘極堆疊,以用作功能性第二閘極結構的佔位符。在步驟518處,凹蝕第三鰭狀結構2092的源極/汲極部份以形成源極/汲極凹口,相似於源極/汲極溝槽224。在步驟520處,選擇性地且部份地蝕刻通道區中的犧牲層206以形成內間隔物凹口,並且在內間隔物凹口中形成內間隔物部件。參照第49A圖以及第49B圖,在步驟522處,在源極/汲極凹口中形成第二源極部件244S以及第二汲極部件244D。在步驟524處,第三鰭狀結構上方的虛設閘極堆疊被第二閘極結構替換。選擇性地去除通道區中的犧牲層206以釋出通道層208作為通道構件,並且第二閘極結構包繞每個通道構件。在替換虛設閘極堆疊之前,第二CESL 246以及第二ILD層248依序地沉積在頂部混合鰭片242、第二源極部件244S以及第二汲極部件244D之上,如第49A圖以及第49B圖所示。
參照第36圖、第50A圖以及第50B圖,方法500包括步驟532,步驟532形成第五接觸導孔259、頂部源極接觸件250、第二汲極接觸件252、第二接觸導孔260以及第三接觸導孔262。如第50A圖所示,頂部源極接觸件250形成在第二源極部件244S之上並與之接觸。相似於第一汲極接觸件234,首先形成接觸開口以露出第二源極部件244S。之後形成穿過基底層238、蓋層236、混合鰭片217、隔離部件214以及第二襯層213的用於第五接觸導孔259的導孔開口,以露出第二埋入式電源軌211-2。在形成接觸開口以及導孔開口之後,在第二源極部件244S上形成矽化物層,並且沉積金屬填充層以填充剩餘的接觸開口。第五接觸導孔259用於耦合頂部源極接觸件250以及第二埋入式電源軌211-2。以相似的方式,第二汲極接觸件252形成在第二汲極部件244D之上並與之接觸。頂部源極接觸件250以及第二汲極接觸件252可以在相同的製程步驟中形成。在形成頂部源極接觸件250以及第二汲極接觸件252之後,蝕刻停止層(ESL)254以及第三ILD層256沉積在頂部源極接觸件250以及第二汲極接觸件252上方以保護頂部源極接觸件250以及第二汲極接觸件252。
第二接觸導孔260以及第三接觸導孔262的形成可以包括形成至少穿過ESL 254和第三ILD層256的導孔開口以及沉積金屬填充層。金屬填充層可以包括氮化鈦(TiN)、鈦(Ti)、釕(Ru)、鎳(Ni)、鈷(Co)、銅(Cu)、鉬(Mo)、鎢(W)、鉭(Ta)或氮化鉭(TaN)。在一些實施例中,每個第二接觸導孔260以及第三接觸導孔262可以包括在金屬填充層以及鄰近的介電材料之間的襯層,以改善電性完整性。襯層可以包括鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鈷(CoN)、氮化鎳(NiN)或氮化鉭(TaN)。因為形成第二接觸導孔260需要形成不僅延伸穿過ESL 254以及第三ILD層256而且延伸穿過第二ILD層248、第二CESL 246、頂部混合鰭片242、基底層238以及蓋層236的導孔開口,所以用於第二接觸導孔260的導孔開口不與用於第三接觸導孔262的導孔開口同時形成。在一些其他實施例中,單獨形成用於第二接觸導孔260的導孔開口,並且在多個蝕刻階段中蝕刻。
參照第36圖、第50A圖以及第50B圖,方法500包括步驟534,步驟534形成頂部互連結構270。頂部互連結構270包括第一保護層263以及在第一保護層263中的導電部件。在所描繪的實施例中,導電部件包括第一導線266以及第二導線268。在示例製程中,將第一保護層263沉積在工件200上,之後對第一保護層263進行圖案化,並且將導電材料沉積在圖案化的第一保護層263上。儘管第50A以及50B圖中的頂部互連結構270僅包括一個互連層,但是頂部互連結構270可以包括更多的互連層,並且可以包括工件200上的所有互連層。如第50B圖所示,第二接觸導孔260與第一導線266直接接觸,並且第三接觸導孔262與第二導線268直接接觸。
現在參照第50A圖以及第50B圖。在方法500中的操作結束之後,形成第一MBC電晶體10以及在第一MBC電晶體10上方的第二MBC電晶體20。第一MBC電晶體10包括夾設在第一源極部件228S以及第一汲極部件228D之間的通道構件。第一MBC電晶體10的第一閘極結構(其視圖被第一源極部件228S阻擋)包繞其每個通道構件。第二MBC電晶體20包括夾設在第二源極部件244S以及第二汲極部件244D之間的通道構件。第二MBC電晶體20的第二閘極結構(其視圖被第二源極部件244S阻擋)包繞其每個通道構件。第一源極部件228S通過第一源極接觸件235以及第四接觸導孔215耦合到第一埋入式電源軌211-1。第二源極部件244S通過頂部源極接觸件250以及第五接觸導孔259耦合到第一埋入式電源軌211-2。第一汲極部件228D以及第二汲極部件244D皆電性耦合至頂部互連結構270中的導電部件。第一汲極部件228D通過第一汲極接觸件234和第二接觸導孔260耦合到第一導線266。第二接觸導孔260沿著Z方向延伸穿過頂部混合鰭片242。第二汲極部件244D通過第三接觸導孔262耦合到第二導線268。因為第三鰭狀結構2092從第一鰭狀結構209沿著X方向垂直地偏置位移距離D,第五接觸導孔259與第一源極部件228S之間的距離以及第二接觸導孔260與第二汲極部件244D之間的距離也增加了位移距離D。上述增加的距離可以減小寄生電容,並且可以改善製程寬裕度(windows)。
第51A以及51B圖繪示結合使用方法100所形成的結構以及使用方法500所形成的結構的替代實施例。根據替代實施例,半導體裝置200在結構上相似於第17A以及17B圖所示的半導體裝置200,但是第二MBC電晶體20的通道構件與第一MBC電晶體10的通道構件在垂直方向上偏置位移距離D。在替代實施例中,第二接觸導孔260以及第二汲極部件244D之間的距離增加了位移距離D,以減小寄生電容並增加製程寬裕度。
如第17A、17B、35A以及35B圖所示,在方法100以及300中,第一MBC電晶體10的通道構件與第二MBC電晶體20的通道構件垂直對準。這種垂直對準允許形成共同(common)閘極結構,共同閘極結構包繞第一MBC電晶體10以及第二MBC電晶體20中的每個通道構件。第52圖繪示當第一MBC電晶體10的通道構件與第二MBC電晶體20的通道構件垂直對準時,用於形成共同閘極結構的方法600。
參照第52以及53圖,方法600包括步驟602,步驟602接收第一MBC電晶體10,並且第一MBC電晶體10包括第一通道構件2080以及包繞每個第一通道構件2080的閘極結構406。在一些實施例中,第一MBC電晶體10在結構上相似於第17A以及17B圖或第35A以及35B圖所示的第一MBC電晶體10。閘極結構406包括第一閘極介電層402和第一閘極電極層404。在一些實施例中,界面層設置在每個第一通道構件2080以及第一閘極介電層402之間。界面層、第一閘極介電層402以及第一閘極電極層404的組成以及形成如上所述,此處不再贅述。當採用方法100時,如第53圖所示,第一通道構件2080以及至少一部份閘極結構406設置在兩個混合鰭片217之間,每個混合鰭片包括犧牲間隔物層216以及在犧牲間隔物層216上的第一介電層218。當採用方法300(未明確示出)時,第一通道構件2080以及閘極結構406的至少一部份設置在兩個模組化混合鰭片2170之間,每個模組化混合鰭片2170包括嵌入在第二介電層以及第三介電層中的導電部件。基底部份209B設置在隔離部件214中。
參照第52、53以及54圖,方法600包括步驟604,其中第二通道構件2082在第一通道構件2080上方。在示例製程中,第二鰭狀結構2090由第二堆疊240形成。包括犧牲層206以及通道層208的第二堆疊240通過直接接合第一MBC電晶體10上的蓋層236以及第二堆疊240的底表面上的基底層238而接合到第一MBC電晶體10。當採用方法100時,如第53圖所示,第二鰭狀結構2090設置在兩個頂部混合鰭片242之間但與其隔開。當採用方法300(未明確示出)時,第二鰭狀結構2090設置在兩個頂部模組化混合鰭片2172之間但與其隔開。在形成第二鰭狀結構2090之後,在第二鰭狀結構的通道區上方形成虛設閘極結構,凹蝕第二鰭狀結構的源極/汲極區以形成源極/汲極凹口,並且形成內間隔物部件,在源極/汲極凹口中形成源極/汲極部件。在去除虛設閘極結構之後,選擇性地去除犧牲層206以釋出作為第二通道構件2082的通道層208,如第54圖所示。第二通道構件2082與第一通道構件2080垂直對準。
參照第52以及55圖,方法600包括步驟606,其形成存取開口(access opening)294至閘極結構406。通過使用非等向性蝕刻,例如RIE或其他合適的乾式蝕刻製程,形成存取開口294穿過基底層238以及蓋層236,從而在存取開口294中露出第一MBC電晶體10的閘極結構400。
參照第52以及56圖,方法600包括步驟608,其中選擇性地去除閘極結構406以露出第一通道構件2080。在閘極結構406於存取開口294中露出的情況下,選擇性地去除存取開口294中的閘極結構406以釋出第一通道構件2080,而大抵不損壞第一通道構件2080。在第56圖所示的一些實施例中,基底層238以及蓋層236的一部份可以保留以形成介電通道部件298。在未明確示出的一些其他實施例中,介電通道部件298可以不存在。應當理解,在一些實施例中,閘極結構406的一部份可以存在於蓋層236以及混合鰭片217之間。在存在閘極切割(gate cut)介電部件的其他實施例中,閘極結構406不位於蓋層以及混合鰭片217之間。
參照第52以及57圖,方法600包括步驟610,其中形成共同閘極結構412以包繞每個第一通道構件2080以及第二通道構件2082。共同閘極結構412包括界面層、位於界面層上方的共同閘極介電層408以及位於共同閘極介電層408上方的共同閘極電極層410。共同閘極結構412的界面層設置在每個第一通道構件2080、介電溝道部件298以及每個第二通道構件2082周圍並與之接觸。在一些實施例中,界面層包括氧化矽並且可以在預清潔製程中形成。示例性的預清潔製程可以包括使用RCA SC-1(氨、過氧化氫以及水)及/或RCA SC-2(鹽酸、過氧化氫以及水)。之後,使用ALD、CVD及/或其他合適的方法將共同閘極介電層408沉積在界面層上。共同閘極介電層408可以由高介電常數介電材料形成。如本揭露所使用和描述,高介電常數介電材料包括具有高介電常數的介電材料,例如,其介電常數大於熱氧化矽的介電常數(〜3.9)。共同閘極介電層408可以包括氧化鉿。替代地,共同閘極介電層408可以包括其他高介電常數介電材料,例如TiO2 、HfZrO、Ta2 O5 、HfSiO4 、ZrO2 、ZrSiO2 、La2 O3 、Al2 O3 、ZrO、Y2 O3 、SrTiO3 (STO)、BaTiO3 (BTO)、BaZrO、HfLaO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba、Sr)TiO3 (BST)、SiN、SiON、其組合或其他合適的材料。
之後使用ALD、PVD、CVD、電子束蒸鍍或其他合適的方法將共同閘極電極層410沉積在共同閘極介電層408上。共同閘極電極層410可以包括單層或替代地多層結構,例如以下的各種組合:具有選定的功函數以增強裝置性能的金屬層(功函數金屬層)、襯層、潤濕層、黏著層 、金屬合金或金屬矽化物。舉例來說,共同閘極電極層410可以包括氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN) 、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化鉭矽(TaSiN)、銅 (Cu)、其他耐火(refractory)金屬或其他合適的金屬材料或其組合。此外,在半導體裝置200包括n型電晶體和p型電晶體的情況下,可以為n型電晶體和p型電晶體分別形成不同的共同閘極電極層,n型電晶體和p型電晶體可以包括不同的金屬層(例如,提供不同的n型和p型功函數金屬層)。
本揭露的實施例提供一些益處。本揭露提供可以在不同實施例中結合的不同接觸結構方案。根據本揭露的接觸結構方案包括例如雙互連結構、具有嵌入式導電部件的混合鰭片以及偏置裝置堆疊。在「雙互連結構」中,第一MBC電晶體的源極部件通過背側源極接觸件耦合至在第一互連結構中的電源軌,並且第二MBC電晶體(其設置在第一MBC電晶體上)的源極部件耦合至在第二MBC電晶體上方的第二互連結構中的電源軌。在「具有嵌入式導電部件的混合鰭片」中,導電部件嵌入在每個混合鰭片中,以提供用作至互連結構的導電路徑的接觸模組。在「偏置裝置堆疊」中,第一MBC電晶體以及第二MBC電晶體的源極/汲極區彼此偏置,以增加接觸導孔以及汲極部件之間的間隔。上述接觸結構方案可以提供製程靈活性,並可以通過降低接觸電阻或寄生電容從而改善裝置性能。
根據本揭露的一些實施例,提供一種半導體裝置,包括:第一互連結構;第一電晶體,在第一互連結構上且包括:第一奈米結構;以及第一源極部件,鄰接(adjoining)第一奈米結構;第二電晶體,在第一電晶體上且包括:第二奈米結構;以及第二源極部件,鄰接第二奈米結構;以及第二互連結構,在第二電晶體上,其中第一源極部件耦合至在第一互連結構之中的第一電源軌,並且第二源極部件耦合至在第二互連結構之中的第二電源軌。
在一些實施例中,第二奈米結構與第一奈米結構垂直地對準。
在一些實施例中,第一電晶體更包括第一閘極結構,第一閘極結構包繞每個第一奈米結構,並且第一閘極結構沿著方向縱向(lengthwise)延伸,其中第二電晶體更包括第二閘極結構,第二閘極結構包繞每個第二奈米結構,並且第二閘極結構沿著方向縱向延伸,其中第二奈米結構沿著方向與第一奈米結構偏置(offset)。
在一些實施例中,更包括:閘極結構,包繞每個第一奈米結構以及每個第二奈米結構。
在一些實施例中,第一電晶體更包括第一汲極部件以及第一汲極接觸件,第一汲極接觸件在第一汲極部件上並且接觸第一汲極部件,其中第一汲極接觸件通過第一接觸導孔耦合至在第二互連結構之中的第一導線。
在一些實施例中,第二電晶體更包括第二汲極部件以及第二汲極接觸件,第二汲極接觸件在第二汲極部件上並且接觸第二汲極部件,其中第二汲極接觸件通過第二接觸導孔耦合至在第二互連結構之中的第二導線。
在一些實施例中,第一源極部件通過設置在第一源極部件正下方的背側源極接觸件耦合至在第一互連結構之中的第一電源軌。
根據本揭露的另一些實施例,提供一種半導體裝置,包括:第一互連結構;第一電晶體,在第一互連結構上且包括:第一奈米結構;以及第一源極部件,鄰接第一奈米結構;第二電晶體,在第一電晶體上且包括:第二奈米結構;以及第二源極部件,鄰接第二奈米結構;以及第二互連結構,在第二電晶體上,其中第一源極部件耦合至在第一互連結構之中的第一電源軌,並且第二源極部件耦合至在第一互連結構之中的第二電源軌。
在另一些實施例中,第一電晶體更包括第一汲極部件以及第一汲極接觸件,第一汲極接觸件在第一汲極部件上並且接觸第一汲極部件,其中第一汲極接觸件通過第一接觸導孔耦合至在第二互連結構之中的第一導線。
在另一些實施例中,第二電晶體更包括第二汲極部件以及第二汲極接觸件,第二汲極接觸件在第二汲極部件上並且接觸第二汲極部件,其中第二汲極接觸件通過第二接觸導孔耦合至在第二互連結構之中的第二導線。
在另一些實施例中,第一奈米結構設置在第一混合鰭片以及第二混合鰭片之間,其中第一混合鰭片包括第一導電部件,第一導電部件內嵌在第一介電部件之中,其中第二混合鰭片包括第二導電部件,第二導電部件內嵌在第二介電部件之中。
在另一些實施例中,第一源極部件通過第一導電部件耦合至在第一互連結構之中的第一電源軌,其中第二源極部件通過第二導電部件耦合至在第一互連結構之中的第二電源軌。
在另一些實施例中,第一電晶體更包括第一汲極部件以及第一汲極接觸件,第一汲極接觸件在第一汲極部件上並且接觸第一汲極部件,其中第一汲極部件以及第一汲極接觸件設置在第一混合鰭片以及第二混合鰭片之間,其中第一汲極部件以及第一汲極接觸件與第一導電部件以及第二導電部件電性隔離。
在另一些實施例中,第二奈米結構設置在第三混合鰭片以及第四混合鰭片之間,其中第三混合鰭片包括第三導電部件,第三導電部件內嵌在第一介電部件之中,其中第四混合鰭片包括第四導電部件,第四導電部件內嵌在第二介電部件之中。
在另一些實施例中,第一電晶體更包括第一汲極部件以及第一汲極接觸件,第一汲極接觸件在第一汲極部件上並且接觸第一汲極部件,其中第一汲極接觸件通過第一接觸導孔耦合至在第二互連結構之中的第一導線,其中第一接觸導孔延伸穿過第一介電部件,並且與第三導電部件電性隔離。
根據本揭露的又一些實施例,提供一種形成半導體裝置的方法,包括:接收工件,工件包括第一基板以及在第一基板上的第一堆疊,第一堆疊包括與第一複數個犧牲層交錯的第一複數個通道層;由第一堆疊以及第一基板的部份形成第一鰭狀結構,第一鰭狀結構包括第一源極區以及第一汲極區;形成第一混合鰭片以及第二混合鰭片,第一混合鰭片以及第二混合鰭片平行於第一鰭狀結構延伸,第一混合鰭片包括內嵌在第一介電部件之中的第一導電部件,並且第二混合鰭片包括內嵌在第二介電部件之中的第二導電部件;在第一源極區上形成第一源極部件,並在第一汲極區上形成第一汲極部件;形成第一源極接觸件,第一源極接觸件直接接觸第一源極部件以及第一導電部件;形成第一汲極接觸件,第一汲極接觸件直接接觸第一汲極部件;在第一源極接觸件以及在第一汲極接觸件上沉積蓋層;在蓋層上接合(bonding)第二堆疊,第二堆疊包括與第二複數個犧牲層交錯的第二複數個通道層;由第二堆疊形成第二鰭狀結構,第二鰭狀結構包括第二源極區以及第二汲極區;形成第三混合鰭片以及第四混合鰭片,第三混合鰭片以及第四混合鰭片平行於第二鰭狀結構延伸,第三混合鰭片包括內嵌在第三介電部件之中的第三導電部件,並且第四混合鰭片包括內嵌在第四介電部件之中的第四導電部件;在第二源極區上形成第二源極部件,並在第二汲極區上形成第二汲極部件;形成第二源極接觸件,第二源極接觸件直接接觸第二源極部件以及第三導電部件;以及形成第二汲極接觸件,第二汲極接觸件直接接觸第二汲極部件。
在又一些實施例中,更包括:形成第一接觸導孔,第一接觸導孔耦合至第四導電部件以及第二導電部件;形成第二接觸導孔,第二接觸導孔在第一導電部件下方並接觸第一導電部件;以及形成第三接觸導孔,第三接觸導孔在第二導電部件下方並接觸第二導電部件。
在又一些實施例中,更包括:在第二源極接觸件以及在第二汲極接觸件上形成第一互連結構,第一互連結構包括第一導線以及第二導線;形成第四接觸導孔,第四接觸導孔耦合至第一汲極接觸件以及第一導線;以及形成第五接觸導孔,第五接觸導孔耦合至第二汲極接觸件以及第二導線。
在又一些實施例中,第四接觸導孔延伸穿過第三介電部件,並且與第三導電部件電性隔離。
在又一些實施例中,更包括:在第一基板下方形成第二互連結構,其中第二互連結構包括第一電源軌以及第二電源軌,其中第一電源軌耦合至第二接觸導孔,並且第二電源軌耦合至第三接觸導孔。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
10:第一MBC電晶體 20:第二MBC電晶體 100:方法 102:步驟 104:步驟 106:步驟 108:步驟 110:步驟 112:步驟 114:步驟 116:步驟 118:步驟 120:步驟 122:步驟 124:步驟 126:步驟 128:步驟 130:步驟 132:步驟 134:步驟 136:步驟 200:工件 200:半導體裝置 202:基板 204:第一堆疊 206:犧牲層 208:通道層 209:鰭狀結構 210:第一襯層 211:埋入式電源軌 213:第二襯層 214:隔離部件 216:犧牲間隔物層 217:混合鰭片 218:第一介電層 219:導電層 221:第三介電層 222:虛設閘極堆疊 224:源極/汲極凹口 224:源極/汲極溝槽 226:內間隔物部件 230:第一接觸蝕刻停止層(CESL) 232:第一層間介電(ILD)層 234:第一汲極接觸件 235:第一源極接觸件 236:蓋層 237:橋接接觸導孔237 238:基底層 239:頂部導電部件 240:第二堆疊 241:第四介電層 242:頂部混合鰭片 243:第五介電層 246:第二CESL 248:第二ILD層 250:頂部源極接觸件 252:第二汲極接觸件 254:蝕刻停止層(ESL) 256:第三ILD層 258:第一接觸導孔 259:第五接觸導孔 260:第二接觸導孔 262:第三接觸導孔 263:第一保護層 264:頂部電源軌 266:第一導線 268:第二導線 270:頂部互連結構 272:背側矽化物層 274:背側源極接觸件 276:第一氮化物襯層 277:第二氮化物襯層 278:第二保護層 279:第一背側電源軌 280:第二背側電源軌 281:第一背側接觸導孔 282:介電填充物 283:第二背側接觸導孔 290:背側互連結構 294:存取開口 298:介電通道部件 300:方法 302:步驟 304:步驟 306:步驟 308:步驟 310:步驟 312:步驟 314:步驟 316:步驟 318:步驟 320:步驟 322:步驟 324:步驟 326:步驟 328:步驟 330:步驟 332:步驟 334:步驟 336:步驟 400:閘極結構 402:第一閘極介電層 404:第一閘極電極層 406:閘極結構 408:共同閘極介電層 410:共同閘極電極層 412:共同閘極結構 500:方法 502:步驟 504:步驟 506:步驟 508:步驟 510:步驟 512:步驟 514:步驟 516:步驟 518:步驟 520:步驟 522:步驟 524:步驟 526:步驟 528:步驟 530:步驟 532:步驟 534:步驟 600:方法 602:步驟 604:步驟 606:步驟 608:步驟 610:步驟 2080:第一通道構件 2082:第二通道構件 2090:第二鰭狀結構 2092:第三鰭狀結構 2170:模組化混合鰭片 2172:頂部模組化混合鰭片 2180:第二介電層 200D:汲極區 200S:源極區 209B:基底部份 209S:堆疊部份 211-1:第一埋入式電源軌 211-2:第二埋入式電源軌 228D:第一汲極部件 228S:第一源極部件 244D:第二汲極部件 244S:第二源極部件 D:距離 H:高度 W:寬度
以下將配合所附圖示詳述本揭露之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小單元的尺寸,以清楚地表現出本揭露的特徵。 第1圖係根據本揭露的一個或多個方面,繪示用於形成具有背側電源軌的半導體裝置的方法流程圖。 第2-10、11A-17A以及11B-17B圖係根據本揭露的一或多個方面,繪示根據第1圖的方法在製造製程期間工件的局部剖面圖。 第18圖係根據本揭露的一個或多個方面,繪示用於形成具有背側電源軌的半導體裝置的方法流程圖。 第19-28、29A-35A以及29B-35B圖係根據本揭露的一個或多個方面,繪示根據第18圖的方法在製造製程期間工件的局部剖面圖。 第36圖係根據本揭露的一個或多個方面,繪示用於形成具有背側電源軌的半導體裝置的方法流程圖。 第37-44、45A-50A以及45B-50B圖係根據本揭露的一個或多個方面,繪示根據第36圖的方法在製造製程期間工件的局部剖面圖。 第51A以及51B圖係根據本揭露的一個或多個方面,繪示半導體裝置的局部剖面圖。 第52圖係根據本揭露的一個或多個方面,繪示用於形成共同閘極結構的方法流程圖。 第53-57圖係根據本揭露的一個或多個方面,繪示在第52圖中方法的各種階段的工件局部剖面圖。
200:半導體裝置
200S:源極區
202:基板
210:第一襯層
214:隔離部件
216:犧牲間隔物層
218:第一介電層
228S:第一源極部件
230:第一接觸蝕刻停止層(CESL)
232:第一層間介電(ILD)層
236:蓋層
242:頂部混合鰭片
244S:第二源極部件
246:第二CESL
248:第二ILD層
250:頂部源極接觸件
254:蝕刻停止層(ESL)
256:第三ILD層
258:第一接觸導孔
263:第一保護層
264:頂部電源軌
270:頂部互連結構

Claims (20)

  1. 一種半導體裝置,包括: 一第一互連結構; 一第一電晶體,在該第一互連結構上且包括: 多個第一奈米結構;以及 一第一源極部件,鄰接(adjoining)該些第一奈米結構; 一第二電晶體,在該第一電晶體上且包括: 多個第二奈米結構;以及 一第二源極部件,鄰接該些第二奈米結構;以及 一第二互連結構,在該第二電晶體上, 其中該第一源極部件耦合至在該第一互連結構之中的一第一電源軌,並且該第二源極部件耦合至在該第二互連結構之中的一第二電源軌。
  2. 如請求項1所述之半導體裝置,其中該些第二奈米結構與該些第一奈米結構垂直地對準。
  3. 如請求項1所述之半導體裝置, 其中該第一電晶體更包括一第一閘極結構,該第一閘極結構包繞每個第一奈米結構,並且該第一閘極結構沿著一方向縱向(lengthwise)延伸, 其中該第二電晶體更包括一第二閘極結構,該第二閘極結構包繞每個第二奈米結構,並且該第二閘極結構沿著該方向縱向延伸, 其中該些第二奈米結構沿著該方向與該些第一奈米結構偏置(offset)。
  4. 如請求項1所述之半導體裝置,更包括: 一閘極結構,包繞每個第一奈米結構以及每個第二奈米結構。
  5. 如請求項1所述之半導體裝置, 其中該第一電晶體更包括一第一汲極部件以及一第一汲極接觸件,該第一汲極接觸件在該第一汲極部件上並且接觸該第一汲極部件, 其中該第一汲極接觸件通過一第一接觸導孔耦合至在該第二互連結構之中的一第一導線。
  6. 如請求項1所述之半導體裝置, 其中該第二電晶體更包括一第二汲極部件以及一第二汲極接觸件,該第二汲極接觸件在該第二汲極部件上並且接觸該第二汲極部件, 其中該第二汲極接觸件通過一第二接觸導孔耦合至在該第二互連結構之中的一第二導線。
  7. 如請求項1所述之半導體裝置,其中該第一源極部件通過設置在該第一源極部件正下方的一背側源極接觸件耦合至在該第一互連結構之中的該第一電源軌。
  8. 一種半導體裝置,包括: 一第一互連結構; 一第一電晶體,在該第一互連結構上且包括: 多個第一奈米結構;以及 一第一源極部件,鄰接該些第一奈米結構; 一第二電晶體,在該第一電晶體上且包括: 多個第二奈米結構;以及 一第二源極部件,鄰接該些第二奈米結構;以及 一第二互連結構,在該第二電晶體上, 其中該第一源極部件耦合至在該第一互連結構之中的一第一電源軌,並且該第二源極部件耦合至在該第一互連結構之中的一第二電源軌。
  9. 如請求項8所述之半導體裝置, 其中該第一電晶體更包括一第一汲極部件以及一第一汲極接觸件,該第一汲極接觸件在該第一汲極部件上並且接觸該第一汲極部件, 其中該第一汲極接觸件通過一第一接觸導孔耦合至在該第二互連結構之中的一第一導線。
  10. 如請求項8所述之半導體裝置, 其中該第二電晶體更包括一第二汲極部件以及一第二汲極接觸件,該第二汲極接觸件在該第二汲極部件上並且接觸該第二汲極部件, 其中該第二汲極接觸件通過一第二接觸導孔耦合至在該第二互連結構之中的一第二導線。
  11. 如請求項8所述之半導體裝置, 其中該些第一奈米結構設置在一第一混合(hybrid)鰭片以及一第二混合鰭片之間, 其中該第一混合鰭片包括一第一導電部件,該第一導電部件內嵌在一第一介電部件之中, 其中該第二混合鰭片包括一第二導電部件,該第二導電部件內嵌在一第二介電部件之中。
  12. 如請求項11所述之半導體裝置, 其中該第一源極部件通過該第一導電部件耦合至在該第一互連結構之中的該第一電源軌, 其中該第二源極部件通過該第二導電部件耦合至在該第一互連結構之中的該第二電源軌。
  13. 如請求項11所述之半導體裝置, 其中該第一電晶體更包括一第一汲極部件以及一第一汲極接觸件,該第一汲極接觸件在該第一汲極部件上並且接觸該第一汲極部件, 其中該第一汲極部件以及該第一汲極接觸件設置在該第一混合鰭片以及該第二混合鰭片之間, 其中該第一汲極部件以及該第一汲極接觸件與該第一導電部件以及該第二導電部件電性隔離。
  14. 如請求項8所述之半導體裝置, 其中該些第二奈米結構設置在一第三混合鰭片以及一第四混合鰭片之間, 其中該第三混合鰭片包括一第三導電部件,該第三導電部件內嵌在一第一介電部件之中, 其中該第四混合鰭片包括一第四導電部件,該第四導電部件內嵌在一第二介電部件之中。
  15. 如請求項14所述之半導體裝置, 其中該第一電晶體更包括一第一汲極部件以及一第一汲極接觸件,該第一汲極接觸件在該第一汲極部件上並且接觸該第一汲極部件, 其中該第一汲極接觸件通過一第一接觸導孔耦合至在該第二互連結構之中的一第一導線, 其中該第一接觸導孔延伸穿過該第一介電部件,並且與該第三導電部件電性隔離。
  16. 一種形成半導體裝置的方法,包括: 接收一工件,該工件包括一第一基板以及在該第一基板上的一第一堆疊,該第一堆疊包括與一第一複數個犧牲層交錯的一第一複數個通道層; 由該第一堆疊以及該第一基板的一部份形成一第一鰭狀結構,該第一鰭狀結構包括一第一源極區以及一第一汲極區; 形成一第一混合鰭片以及一第二混合鰭片,該第一混合鰭片以及該第二混合鰭片平行於該第一鰭狀結構延伸,該第一混合鰭片包括內嵌在一第一介電部件之中的一第一導電部件,並且該第二混合鰭片包括內嵌在一第二介電部件之中的一第二導電部件; 在該第一源極區上形成一第一源極部件,並在該第一汲極區上形成一第一汲極部件; 形成一第一源極接觸件,該第一源極接觸件直接接觸該第一源極部件以及該第一導電部件; 形成一第一汲極接觸件,該第一汲極接觸件直接接觸該第一汲極部件; 在該第一源極接觸件以及在該第一汲極接觸件上沉積一蓋層; 在該蓋層上接合(bonding)一第二堆疊,該第二堆疊包括與一第二複數個犧牲層交錯的一第二複數個通道層; 由該第二堆疊形成一第二鰭狀結構,該第二鰭狀結構包括一第二源極區以及一第二汲極區; 形成一第三混合鰭片以及一第四混合鰭片,該第三混合鰭片以及該第四混合鰭片平行於該第二鰭狀結構延伸,該第三混合鰭片包括內嵌在一第三介電部件之中的一第三導電部件,並且該第四混合鰭片包括內嵌在一第四介電部件之中的一第四導電部件; 在該第二源極區上形成一第二源極部件,並在該第二汲極區上形成一第二汲極部件; 形成一第二源極接觸件,該第二源極接觸件直接接觸該第二源極部件以及該第三導電部件;以及 形成一第二汲極接觸件,該第二汲極接觸件直接接觸該第二汲極部件。
  17. 如請求項16所述之形成半導體裝置的方法,更包括: 形成一第一接觸導孔,該第一接觸導孔耦合至該第四導電部件以及該第二導電部件; 形成一第二接觸導孔,該第二接觸導孔在該第一導電部件下方並接觸該第一導電部件;以及 形成一第三接觸導孔,該第三接觸導孔在該第二導電部件下方並接觸該第二導電部件。
  18. 如請求項17所述之形成半導體裝置的方法,更包括: 在該第二源極接觸件以及在該第二汲極接觸件上形成一第一互連結構,該第一互連結構包括一第一導線以及一第二導線; 形成一第四接觸導孔,該第四接觸導孔耦合至該第一汲極接觸件以及該第一導線;以及 形成一第五接觸導孔,該第五接觸導孔耦合至該第二汲極接觸件以及該第二導線。
  19. 如請求項18所述之形成半導體裝置的方法,其中該第四接觸導孔延伸穿過該第三介電部件,並且與該第三導電部件電性隔離。
  20. 如請求項18所述之形成半導體裝置的方法,更包括: 在該第一基板下方形成一第二互連結構, 其中該第二互連結構包括一第一電源軌以及一第二電源軌, 其中該第一電源軌耦合至該第二接觸導孔,並且該第二電源軌耦合至該第三接觸導孔。
TW110116716A 2020-05-22 2021-05-10 半導體裝置及其形成方法 TWI801864B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063028770P 2020-05-22 2020-05-22
US63/028,770 2020-05-22
US17/093,230 US11532627B2 (en) 2020-05-22 2020-11-09 Source/drain contact structure
US17/093,230 2020-11-09

Publications (2)

Publication Number Publication Date
TW202147452A true TW202147452A (zh) 2021-12-16
TWI801864B TWI801864B (zh) 2023-05-11

Family

ID=77525839

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110116716A TWI801864B (zh) 2020-05-22 2021-05-10 半導體裝置及其形成方法

Country Status (4)

Country Link
KR (1) KR102481143B1 (zh)
CN (1) CN113363257A (zh)
DE (1) DE102020131432A1 (zh)
TW (1) TWI801864B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220359369A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Heat Dissipation for Semiconductor Devices and Methods of Manufacture

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230155169A (ko) * 2022-05-03 2023-11-10 삼성전자주식회사 3차원 반도체 소자 및 그 제조방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9508718B2 (en) 2014-12-29 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contact structure and method for forming the same
US9406697B1 (en) 2015-01-20 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and manufacturing methods thereof
KR102383650B1 (ko) * 2015-06-04 2022-04-06 삼성전자주식회사 반도체 장치
US9853101B2 (en) * 2015-10-07 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained nanowire CMOS device and method of forming
US10083963B2 (en) * 2016-12-21 2018-09-25 Qualcomm Incorporated Logic circuit block layouts with dual-side processing
US11211330B2 (en) * 2017-05-01 2021-12-28 Advanced Micro Devices, Inc. Standard cell layout architectures and drawing styles for 5nm and beyond
DE102018114209A1 (de) * 2017-07-31 2019-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Source -und-drain-struktur mit einem reduzierten kontaktwiderstand und einer verbesserten beweglichkeit
US10304832B1 (en) * 2017-11-16 2019-05-28 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors and method
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US10861750B2 (en) * 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11411090B2 (en) * 2018-09-27 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures for gate-all-around devices and methods of forming the same
US11121036B2 (en) * 2018-10-16 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US10748901B2 (en) * 2018-10-22 2020-08-18 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US11063041B2 (en) * 2018-10-31 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device including a power supply line and method of forming the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220359369A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Heat Dissipation for Semiconductor Devices and Methods of Manufacture
US11990404B2 (en) * 2021-05-05 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Heat dissipation for semiconductor devices and methods of manufacture

Also Published As

Publication number Publication date
CN113363257A (zh) 2021-09-07
KR20210145067A (ko) 2021-12-01
DE102020131432A1 (de) 2021-11-25
KR102481143B1 (ko) 2022-12-23
TWI801864B (zh) 2023-05-11

Similar Documents

Publication Publication Date Title
US11532627B2 (en) Source/drain contact structure
TWI786608B (zh) 半導體裝置及其製造方法
TWI768834B (zh) 半導體裝置及其製造方法
TWI815151B (zh) 半導體裝置及其形成方法
US11862701B2 (en) Stacked multi-gate structure and methods of fabricating the same
KR102495805B1 (ko) 후면 콘택
CN114512442A (zh) 半导体装置
TWI793675B (zh) 半導體裝置及其形成方法
TW202228245A (zh) 半導體結構
TWI801864B (zh) 半導體裝置及其形成方法
KR102549861B1 (ko) 반도체 디바이스의 콘택 플러그 구조물 및 그 형성 방법
TWI776442B (zh) 半導體裝置及半導體結構
TW202234581A (zh) 半導體結構及其形成方法
TW202329242A (zh) 製造半導體裝置的方法
US20220285512A1 (en) Semiconductor Device With Gate Isolation Features And Fabrication Method Of The Same
TWI768893B (zh) 半導體裝置及其形成方法
TW202145570A (zh) 半導體裝置
TWI820735B (zh) 半導體裝置及其製造方法
CN220753435U (zh) 半导体结构
TWI835314B (zh) 半導體裝置及其製造方法
US20230395721A1 (en) Source/drain contact landing
TW202418473A (zh) 半導體結構及其製造方法
CN115148604A (zh) 半导体装置及其制造方法
TW202420590A (zh) 半導體結構及其形成方法
CN116598346A (zh) 多栅极器件的栅极结构