TWI820735B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI820735B
TWI820735B TW111120529A TW111120529A TWI820735B TW I820735 B TWI820735 B TW I820735B TW 111120529 A TW111120529 A TW 111120529A TW 111120529 A TW111120529 A TW 111120529A TW I820735 B TWI820735 B TW I820735B
Authority
TW
Taiwan
Prior art keywords
platform
epitaxial
layer
base
sidewalls
Prior art date
Application number
TW111120529A
Other languages
English (en)
Other versions
TW202303964A (zh
Inventor
李尚融
林士豪
耿文駿
楊智銓
黃志翔
王屏薇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303964A publication Critical patent/TW202303964A/zh
Application granted granted Critical
Publication of TWI820735B publication Critical patent/TWI820735B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種製造半導體裝置的方法包括形成鰭,鰭具有磊晶部和從基板突出的基部。基部的多個側壁相對於磊晶部的多個側壁呈錐形。方法更包括在磊晶部的多個側壁上沉積聚合物材料,進行蝕刻製程以調整基部的多個側壁的輪廓,使得基部的多個側壁以位於基部的頂面下方的基部的最窄寬度橫向凹陷,從磊晶部的多個側壁移除聚合物材料,在基部的多個側壁上沉積隔離部件,以及形成接合磊晶部的閘極結構。

Description

半導體裝置及其製造方法
本揭露是關於半導體裝置及其製造方法,特別是關於抑制漏電流的半導體裝置及其製造方法。
半導體積體電路(IC)產業歷經了指數級成長。積體電路(IC)材料和設計的技術進步產生了數代積體電路,每一代的電路都比上一代更小、更複雜。在積體電路(IC)演進製程中,功能密度(意即每晶片面積內連線裝置的數量)普遍增加,同時縮小幾何尺寸(意即可使用製程製造的最小組件(或線))。這種按比例微縮的製程通常通過提高生產效率和降低相關成本來提供益處。這種按比例微縮也增加了積體電路(IC)加工和製造的複雜性。
例如,隨著積體電路(IC)技術向更小的技術節點發展,已經引入了多閘極裝置,以通過增加閘極-通道耦合、減少關閉狀態電流和減少短通道效應(SCE)來改善閘極控制。多閘極裝置通常是指具有閘極結構或其一部分的裝置,其設置在通道區的多於一側的上方。全繞式閘極(GAA)電晶體是多閘極裝置的示例,其已成為普及和有希望的高性能和低漏電應用的候選者。全繞式閘極電晶體得名於閘極結構,上述閘極結構可在通道區域周圍延伸,從而可在堆疊 通道層的四個側面存取。與平面電晶體相比,這種配置可更好地控制通道區並顯著降低短通道效應(特別是通過降低次臨界漏電流(sub-threshold leakage))。隨著半導體行業進一步發展到次10奈米(nm)技術製程節點以追求更高的裝置密度、更高的性能和更低的成本,在堆疊通道層周圍積集製造全繞式閘極部件可能具有挑戰性。例如,在全繞式閘極部件中,延伸圍繞最底部通道層的閘極結構也可能接合其下方的半導體基板的頂部,從而在堆疊通道層下方引起強漏電流。因此,雖然目前的方法在許多方面都令人滿意,但對最終裝置性能的挑戰可能並非在所有方面都令人滿意。
本揭露一些實施例提供一種半導體裝置的製造方法,方法包括方法包括形成鰭,鰭具有磊晶部和從基板突出的基部,基部的多個側壁相對於磊晶部的多個側壁呈錐形,在磊晶部的側壁上沉積聚合物材料,執行蝕刻製程以調整基部的多個側壁的輪廓,使得基部的多個側壁以位於基部的頂面下方的該基部的最窄寬度橫向凹陷,從磊晶部的側壁移除聚合物材料,在基極部分的多個側壁上沉積隔離部件,以及形成接合磊晶部的閘極結構。
本揭露另一些實施例提供一種半導體裝置的製造方法,方法包括方法包括在基板上形成磊晶層,圖案化磊晶層和基板的頂部,以分別形成鰭狀磊晶層和鰭狀磊晶層下方的高台,沉積覆蓋鰭狀磊晶層的多個側壁的聚合物層,進行蝕刻製程,以橫向凹陷高台的多個側壁,使得高台的寬度小於鰭狀磊晶層的寬度,移除聚合物層,以暴露鰭狀磊晶層的多個側壁,以及在鰭形磊晶層上形成閘極結構。
本揭露又一些實施例提供一種半導體裝置,半導體裝置包括多個通道層,設置在高台上方,其中高台的多個側壁朝向高台的中心向內彎曲,使得高台的中部的寬度窄於高台的頂部的寬度;閘極結構,包裹多個通道層的每一個並與高台物理接觸;磊晶源/汲極部件,鄰接多個通道層;以及隔離部件,沉積在高台的多個側壁和磊晶源/汲極部件的多個側壁上。
100:方法
102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132:方框
150:聚合物材料
200:工件
202:基板
202a,202a':頂面
212:磊晶堆疊
214,216:磊晶層
214T:頂磊晶層
218:遮罩層
218A:第一遮罩層
218B:第二遮罩層
220:鰭
220-1:第一半導體鰭
220-2:第二半導體鰭
220A:上部
220B:基部
224:溝槽
252:隔離部件
260:虛置閘極疊層
262:閘極頂部硬遮罩
262A:氮化矽遮罩層
262B:氧化矽遮罩層
264:閘極間隔物
266:源/汲極凹陷
268:內部間隔物
270:磊晶源/汲極部件
272:層間介電層
274:閘極溝槽
280:金屬閘極結構
A-A,B-B,C-C:切線
θ,θ':角度
h,h':鰭高度
a:頂部寬度
b:底部寬度
c,d:厚度
e:最窄寬度
△h:額外距離
由以下的詳細敘述配合所附圖式,可更加理解本揭露實施例的觀點。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。
第1圖顯示根據本揭露的一個或多個實施例的用於製造半導體裝置的示例方法的流程圖。
第2、3和4圖顯示根據本揭露的一個或多個實施例的依據第1圖中的方法的製程期間的半導體結構的透視圖。
第5A、5B、5C、6A、6B、6C、7A、7B、7C、8A、8B、8C、9A、9B、9C、10A、10B、10C、11A、11B、11C、12A、12B、12C、13A、13B、13C、14A、14B、14C、15A、15B、15C、16A、16B、16C、17A、17B、17C、18A、18B和18C圖顯示根據本揭露的一個或多個實施例的依據第1圖中的方法的製程期間的半導體結構的剖面圖。
以下的揭露內容提供許多不同的實施例或範例,以實施本發明實施例的不同部件。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。舉例來說,若是說明書敘述了一第一部件形成於一第二部件之上或上方,即表示其可能包含上述第一部件與上述第二部件是直接接觸的實施例,亦可能包含了有額外部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與第二部件可能未直接接觸的實施例。另外,以下本揭露的不同範例中可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間的關係。
此外,其與空間相關用語。例如“在...下方”、”之下”、“下”、“在...上方”、“上”及類似的用語,係為了便於描述圖式中一個元件或部件與另一個(些)元件或部件之間的關係。除了在圖式中繪示的方位外,這些空間相關用語意欲包含使用中或操作中的裝置之不同方位。裝置可能被參考不同方位(旋轉90度或其他方位),且在此使用的空間相關詞也可依此對應地解釋。更進一步,當用“約”、“近似”等描述數字或數字範圍時,除非另有說明,否則用上述用語意欲涵蓋在所描述數字的+/-10%內的數字。舉例來說,用詞“約5nm”包括從4.5nm到5.5nm的尺寸範圍。
本揭露一般有關半導體裝置中多閘極電晶體的半導體製造。如本文所用,半導體裝置指例如一個或多個電晶體、積體電路、半導體晶片(例如,記憶體晶片、半導體晶粒上的邏輯晶片)、半導體晶片的堆疊、半導體封裝、半導體晶圓等。用語“多閘極電晶體”是指電晶體,例如場效電晶體(FET),其閘極材料設置在電晶體的通道區的多個側面上。
全繞式閘極(GAA)電晶體是一種多閘極電晶體,其閘極結構圍繞通道區域延伸,提供對堆疊通道層的四個側面的存取。下面結合所附圖式詳細描述本發明的結構和製作方法,所附圖式說明了根據一些實施例的全繞式閘極電晶體的製程。全繞式閘極電晶體具有垂直堆疊的水平方向的通道層。用語“通道層”在本文中用於表示具有奈米級或甚至微米級尺寸並且具有細長形狀的任何材料部分,而不管上述部分的剖面形狀為何。因此,上述用語意指圓形和大致圓形剖面的細長材料部分,以及包括例如圓柱形或大致矩形剖面的束狀或棒狀材料部分。在一些示例中,通道層被稱為“奈米線”、“奈米片”等,如本文所用,其包括各種幾何形狀(例如,圓柱形、條形)和各種尺寸的通道層。全繞式閘極電晶體因其更好的閘極控制能力、更低的漏電流和完全的鰭式場效電晶體裝置佈局兼容性而有望將互補式金屬氧化物半導體(CMOS)裝置帶入藍圖的下一階段。為了簡單起見,本揭露使用全繞式閘極裝置作為示例。所屬技術領域中具有通常知識者應當理解,他們可容易地使用本揭露作為設計或調整用於執行相同目的及/或實現與本文介紹的實施例的相同優點的其他製程和結構(例如其他類型的多閘極電晶體)的基礎。在全繞式閘極部件中,圍繞堆疊通道結構延伸的閘極結構也可能直接接合最底部通道層下方的半導體基板的頂部(或稱為鰭狀基底或高台),導致強漏電流流入半導體基板。本揭露的一個目的是設計一種具有調整輪廓的高台,以抑制穿過高台的漏電流。
現在將參照所附圖式更詳細地描述本揭露的各個實施例。第1圖顯示用於形成半導體裝置的方法100的流程圖。方法100僅是示例並且不旨在將本揭露限制為在上述方法中明確說明的內容。可在相應方法之前、期間和之後提供額外的步驟,並且對於相應方法的額外實施例,可替換、消除或移動所描 述的一些步驟。為簡單起見,本文並未詳細描述所有步驟。下文結合第2-18C圖描述方法100Z,第2-18C圖顯示根據方法100的實施例在不同製造階段的工件200的示意性透視圖和局部剖面圖。因為半導體裝置將由工件200形成,所以可參考工件200作為半導體裝置200或上下文需要的裝置200。第2-4圖是根據一些實施例在製造的各個階段的工件200的透視示意圖。在第5A-18C圖中,為了更好地說明本揭露的各個方面,以大寫字母A結尾的每個圖顯示待形成電晶體的通道區域中的局部剖面圖(意即,如第4圖所示,沿著在通道區中沿閘極結構的長度方向且垂直於通道層的長度方向的A-A切線的剖面圖)。以大寫字母B結尾的每個圖顯示待形成電晶體的源/汲極區的局部剖面圖(意即,如第4圖所示,沿著在源/汲極區中且垂直於通道層的長度方向的B-B切線的剖面圖)。以大寫字母C結尾的每個圖顯示沿第一鰭的局部剖面圖(意即,如第4圖所示,沿第一鰭的C-C切線的剖面圖)。在第2-18C圖中,X方向、Y方向和Z方向相互垂直並且一致地使用。此外,在整個本揭露中,相似的元件符號用於表示相似的部件。
參考第1和2圖,方法100包括接收工件200的方框102(接收包括基板的工件)。與在此討論的其他方法實施例和示例性裝置一樣,應當理解,半導體裝置200的部分可通過互補式金屬氧化物半導體(CMOS)技術製程流程來製造,因此這裡僅對一些製程進行簡要描述。此外,示例性半導體裝置可包括各種其他裝置和部件,例如其他類型的裝置,例如附加電晶體、雙極性接面電晶體、電阻、電容、電感、二極體、保險絲、靜態隨機存取記憶體(SRAM)及/或其他邏輯電路等,但為了更好地理解本揭露的發明概念而進行了簡化。在一些實施例中,示例性裝置包括可內部連接的多個半導體裝置(例如電晶體),包括p型場效電晶體、n型場效電晶體等。工件200包括基板202。在一些實施例中,基板 202可為半導體基板,例如矽(Si)基板。在一些實施例中,基板202至少在其表面部分上包括單晶半導體層。基板202可包括單晶半導體材料,例如但不限於矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化鋁銦(InAlAs)、砷化銦鎵(InGaAs)、磷化鎵銻(GaSbP)、銻化鎵砷(GaAsSb)和磷化銦(InP)。或者,基板202可包括化合物半導體及/或合金半導體。基板202可包括各種層,包括形成在半導體基板上的導電層或絕緣層。
參考第1和3圖,方法100包括方框104(在基板上方形成磊晶堆疊),其中在基板202上方形成一個或多個磊晶層。在一些實施例中,在基板202上方形成磊晶堆疊212。磊晶堆疊212包括第一成分的磊晶層214、插入磊晶層214的第二成分的磊晶層216和位於頂部的磊晶層216上方的第一成分的頂磊晶層214T。第一成分和第二成分可以不同。在一實施例中,磊晶層214是矽鍺(SiGe)並且磊晶層216是矽(Si)。然而,其他實施例也是可能的,包括提供具有不同氧化速率及/或蝕刻選擇比的第一成分和第二成分的實施例。注意的是,第3圖中磊晶層214和磊晶層216的每一個顯示三層,其僅用於說明目的,且不意欲限制超出請求項中具體記載的內容。可理解,可在磊晶堆疊212中形成任意數量的磊晶層;磊晶層的數量取決於形成電晶體所需的通道層數量。在一些實施例中,磊晶層216的數量在2到10之間。
在一些實施例中,磊晶層214具有範圍從約8nm至約12nm的厚度。磊晶層214的厚度可為實質上均勻。在一些實施例中,磊晶層216具有範圍從約8nm至約10nm的厚度。在一些實施例中,磊晶層216的厚度可是實質上均勻的。如以下更詳細描述的,磊晶層216可用作隨後形成的全繞式閘極電晶體的通道層(或通道構件)且其厚度基於裝置性能考量選擇。磊晶層216也可稱為通道 層216。磊晶層214可用於保留相鄰通道層之間的間距(或稱為間隙),並且基於裝置性能考量選擇其厚度。隨後將移除磊晶層214並且也可將其稱為犧牲層214。與磊晶層214一樣,頂磊晶層214T可由矽鍺(SiGe)形成。頂磊晶層214T可比磊晶層214厚並且用於保護磊晶堆疊212在製程中免受損傷。在一些情況下,頂磊晶層214T的厚度可在約20nm和約40nm之間。
例如,磊晶堆疊212的磊晶成長可通過分子束磊晶(MBE)製程、金屬有機化學氣相沉積(MOCVD)製程及/或其他合適的磊晶成長製程來執行。在一些實施例中,磊晶成長層,例如磊晶層216,包括與基板202相同的材料,例如矽(Si)。在一些實施例中,頂磊晶層214T和磊晶層214的成分實質上相同。在一些實施例中,磊晶層214和磊晶層216包括與基板202不同的材料。如上所述,在至少一些示例中,磊晶層214包括磊晶成長的矽鍺(Si1-xGex)層(例如,x約為25~55%),且磊晶層216包括磊晶成長的矽(Si)層。或者,在一些實施例中,磊晶層214和磊晶層216中的任一個可包括其他材料,例如鍺、化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦,合金半導體,例如矽鍺(SiGe)、磷化鎵砷(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化銦鎵(InGaAs)、磷化鎵銦(GaInP)及/或磷化鎵銦砷(GaInAsP)、或上述之組合。如所討論的,可基於提供不同的氧化和蝕刻選擇比特性來選擇磊晶層214和磊晶層216的材料。在各種實施例中,磊晶層214和磊晶層216實質不含摻質(即,具有從約0cm-3至約1×1017cm-3的外來摻質濃度),其中例如在磊晶成長期間不進行有意摻雜。
此外,在磊晶堆疊212上方形成遮罩層218。在一些實施例中,遮罩層218包括第一遮罩層218A和第二遮罩層218B。第一遮罩層218A是由氧化矽 製成的墊氧化層,其可通過熱氧化製程形成。第二遮罩層218B由氮化矽(SiN)製成,其通過化學氣相沉積(CVD)形成,包括低壓化學氣相沉積(LPCVD)和電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、或其他合適的製程。
參考第1、4和5A-5C圖,方法100包括方框106,其中磊晶堆疊212被圖案化以形成第一半導體鰭220-1和第二半導體鰭220-2(統稱為鰭220),如第4和5A-5C圖所示。在一些實施例中,第一半導體鰭220-1在n型場效電晶體(NFET)區域中並且將形成為n型場效電晶體的一部分,並且第二半導體鰭220-2在p型場效電晶體(PFET)區域中並且將形成為p型場效電晶體的一部分。在一些實施例中,第一半導體鰭220-1和第二半導體鰭220-2在相同區域中並且將形成為相同導電類型的場效電晶體的一部分。在各種實施例中,每個鰭220包括交錯的磊晶層214/216和頂磊晶層214T的上部220A(也稱為磊晶部220A),以及通過圖案化基板202的頂部形成的基部220B。基部220B仍具有從基板202突出的鰭狀,也稱為鰭狀基部220B。同樣由於其平坦頂部的高地,基部220B也被稱為高台(基部)220B。
通過使用包括微影和蝕刻的圖案化操作,將遮罩層218圖案化為遮罩圖案。在一些實施例中,方框106處的操作使用包括雙重圖案化或多重圖案化製程的合適製程來圖案化磊晶堆疊212。通常,雙重圖案或多重圖案製程結合了微影製程和自對準製程,從而允許產生具有例如比使用單次、直接的微影製程可獲得的間距更小的間距的圖案。例如,在一實施例中,材料層形成在基板上方並使用微影製程圖案化。使用自對準製程在圖案化材料層旁邊形成間隔物。然後移除材料層,然後可使用剩餘的間隔物或心軸在例如為乾蝕刻(例如反應離子蝕刻)、濕蝕刻及/或其他合適的製程的蝕刻製程中,且通過在圖案化遮罩 層218中定義的開口圖案化磊晶堆疊212。由此將堆疊的磊晶層214和磊晶層216圖案化為鰭220,在相鄰鰭之間具有溝槽224。
在一些實施例中,方框106處的操作包括圖案化磊晶堆疊212的第一蝕刻製程和圖案化基板202的頂部的第二蝕刻製程。例如,第一蝕刻製程可包括反應式離子蝕刻(RIE)在約500W至700W的電源功率、約50W至70W的偏壓功率和約3mTorr至10mTorr的壓力下,使用二氟甲烷(CH2F2)、六氟化硫(SF6)、氮氣(N2)和氦氣(He)作為蝕刻氣體。在第一蝕刻製程之後,磊晶部(上部)220A的側壁實質上垂直於基板202的頂面(主表面)202a。第一蝕刻製程可能稍微過度蝕刻基板202的頂部,使得高台(基部)220B的部分側壁的頂部也大致垂直於基板202的頂面202a。第二蝕刻製程進一步蝕刻基板202的頂部以形成高台(基部)220B。例如,第二蝕刻製程可包括在約1100W至約1200W的電源功率、約200W至約230W的偏壓功率和約10mTorr至約20mTorr的壓力下的反應式離子蝕刻(RIE)。使用溴化氫(HBr)、六氟化硫(SF6)和氦氣(He)作為蝕刻氣體。在第二蝕刻製程之後,高台(基部)220B的側壁具有錐形輪廓(tapered profile)。在一些實施例中,高台(基部)220B的錐形側壁與基板202的頂面202a形成約65度至約85度範圍內的角度θ。如下文將更詳細討論的,高台(基部)220B的錐形側壁將被調整為具有凹形輪廓,以增加穿過高台(基部)220B進入基板202的電流路徑的電阻,從而實現漏電流抑制。
在方框106結束時,每個鰭220從基板202沿Z方向向上突出並沿Y方向縱向延伸。在第4圖中,兩個鰭220沿X方向間隔開。但鰭的數量不限於兩個,可少至一個,也可多於兩個。值得注意的是,雖然在所示實施例中,兩個鰭220被描繪為彼此相鄰,但這僅用於說明目的。在各種實施例中,鰭220可彼此相鄰 或彼此分離,而其他鰭設置於其間。在一些實施例中,從最頂層磊晶層216的頂面到基板202的頂面202a測量的鰭高度,表示為鰭高度h(第5A圖),在約100nm至約220nm的範圍內;高台(基部)220B的頂部寬度,表示為頂部寬度a(第5A圖),其與磊晶部(上部)220A的寬度實質上相同,在約10nm至約100nm的範圍內;高台(基部)220B的底部寬度,表示為底部寬度b(第5A圖),在約10nm至約150nm的範圍內;b/a(底部寬度/頂部寬度)之比在約1至約1.5的範圍內。如果b/a(底部寬度/頂部寬度)的比值小於1,則鰭220的機械強度變弱;如果b/a的比值大於1.5,則必須擴大鰭與鰭的間距以適應,其反而又會降低裝置密度。
參考第1和6A-6C圖,方法100包括方框108(在鰭的圖案化磊晶部的頂部和側壁表面上沉積聚合物材料),其中至少在磊晶部(上部)220A的頂部和側壁表面上沉積聚合物材料(或聚合物層)150。在一實施例中,聚合物材料150可包括CwHxFyOz聚合物,其中w、x、y和z表示每種化學元素的原子百分比。例如,聚合物材料150可在具有約100sccm至約350sccm的流速的氟甲烷(CH3F)和氧氣的氣體混合物、約1000W至約1500W的電源功率,並且約5mTorr至約40mTorr的壓力的製程條件下沉積。注意,由於結構的不均勻形貌,聚合物材料150不均勻地沉積在鰭220的表面上。特別地,鰭220的頂面高於磊晶部(上部)220A的垂直側壁或高台(基部)220B的錐形側壁。結果,聚合物材料150的厚度從鰭220的頂面到磊晶部(上部)220A的側壁逐漸減小。在一些實施例中,鰭220的頂面上的聚合物材料150的厚度,表示為厚度c(第6A圖),其在約5nm至約30nm的範圍內;從磊晶部(上部)220A的中點測量的鰭220的側壁上的聚合物材料150的厚度,表示為厚度d(第6A圖),其在約3nm至約25nm的範圍內。以時間模式控制沉積,使得聚合物材料150僅向下延伸至在最底部的磊晶層214的底面下方並且在到達高台 (基部)220B的錐形側壁之前停止。聚合物材料150的最低點在鰭220的相對側壁上可是不對稱的。在所示實施例中,聚合物材料150實質上完全覆蓋高台(基部)220B的一個側壁的頂部垂直部分,但部分覆蓋高台(基部)220B的另一側壁的頂部垂直部分。在聚合物材料150下方覆蓋磊晶部(上部)220A的側壁表面提供了保護磊晶部(上部)220A免受調整高台(基部)220B的側壁的錐形輪廓的後續蝕刻製程(將被描述)的益處。
在其他實施例中,在方框106處圖案化磊晶堆疊212的第一蝕刻製程包括形成聚合物材料150作為副產物。在一實施例中,第一蝕刻製程是異向性的並且可使用流速為100sccm至300sccm的氟甲烷(CH3F)和氧氣的氣體混合物、約1000W至約1500W的電源功率和約5mTorr至約40mTorr壓力來執行第一蝕刻製程。蝕刻製程可替代地或附加地使用其他氣體。特別地,蝕刻製程產生一種或多種聚合物副產物(聚合物材料)150,例如CwHxFyOz聚合物,其中w、x、y和z表示每種化學元素的原子百分比。聚合物副產物(聚合物材料)150在蝕刻製程期間同時產生並沉積在鰭220的各個表面上。隨後,方框106的第二蝕刻製程將基板202的頂部圖案化為高台(基部)220B而不進一步產生聚合物副產物(聚合物材料)150。在此替代實施例中,在通過圖案化基板202的頂部而形成高台(基部)220B之前,在磊晶部(上部)220A的表面上形成聚合物材料150。因此,方法100在方框106結束時可跳過方框108並進行方框110。
參考第1和7A-7C圖,方法100包括方框110,其中在蝕刻製程中調整高台(基部)220B的側壁輪廓。蝕刻製程是實質保持聚合物材料150完整的選擇性蝕刻。聚合物材料150保護包裹在下面的磊晶部(上部)220A免受蝕刻損失。在一些實施例中,蝕刻製程是使用具有氯、氟、溴、氧、氫、碳、或上述之組 合的原子的蝕刻劑的乾蝕刻製程。舉例來說,蝕刻劑可具有氯氣(Cl2)、氧氣(O2)、含碳氟氣體、含溴氟氣體和含碳氫氟氣體的氣體混合物。蝕刻製程可在具有其他參數,例如約500sccm和約1000sccm之間的蝕刻劑流速、約60mtorr和約90mtorr之間的氣體壓力、約1000W和約2000W之間的射頻(RF)功率,以及約200V和約500V之間的偏壓的電漿蝕刻反應器內進行。調整蝕刻過程中的參數,使得蝕刻劑表現出一定的橫向蝕刻速率。在一些實施例中,蝕刻製程是使用濕蝕刻劑的濕蝕刻製程,該濕蝕刻劑選自但不限於氫氧化銨(NH4OH)、四甲基氫氧化銨(TMAH)、乙二胺鄰苯二酚(EDP)或氫氧化鉀(KOH)溶液。蝕刻製程進一步使基板202在Z方向上凹陷,使得頂面202a降低約5nm至約30nm的額外距離△h。凹陷的頂面表示為頂面202a'。從最頂部磊晶層216的頂面到基板202的頂面202a'測量的鰭高度,表示為鰭高度h'(第7A圖),擴大到約150nm至約250nm的範圍。蝕刻製程還從高台(基部)220B的側壁橫向移除高台(基部)220B的部分,形成凹形輪廓。也就是說,高台(基部)220B的每個側壁現在具有朝向高台(基部)220B的中心彎曲的曲率形狀。曲率形狀從每個側壁上的聚合物材料150的最低點開始。曲率形狀的底部與頂面202a'形成在約30度至約50度的範圍內的角度θ'。高台(基部)220B的最窄寬度,表示為最窄寬度e(第7A圖),在約5nm至約100nm的範圍內。在一些實施例中,最窄的寬度出現在高台(基部)220B的一半高度以上的位置處,例如從基板202的頂面202a'測量的高台(基部)220B的高度的約0.5至約0.8倍。在實施例中,e/a(最窄寬度/頂部寬度)的比值在約0.5至約0.9的範圍內。如果e/a(最窄寬度/頂部寬度)的比值低於0.5,則鰭220的機械強度變弱;如果e/a(最窄寬度/頂部寬度)的比值大於0.9,穿過高台(基部)220B的漏電流可能仍然很大,反而又會降低裝置性能。橫向蝕刻重塑高台(基部)220B的側壁,減小其剖面積。 高台(基部)220B的減小的剖面積增加了穿過高台(基部)220B進入基板202的電流路徑的電阻,從而抑制了漏電流。如上所述,由於在高台(基部)220B的相對側壁上的聚合物材料150的不水平最低點,高台(基部)220B的頂部垂直側壁可能變得不對稱,其中一個垂直側壁在Z方向上延伸比另一個更長。換句話說,一個側壁上的曲率形狀的最高點可開始於低於另一側的位置。
參考第1和8A-8C圖,方法100包括方框112,其中在清潔過程中移除聚合物材料150。在一實施例中,聚合物的清潔通過在維持在約4mTorr和約15mTorr之間的壓力下以約60sccm和約100sccm之間的速率流動四氟化碳(CF4)氣體來完成。電源功率被控制在約300W和約500W之間,而偏壓功率被控制在約30W和約50W之間並持續約5秒和15秒之間的持續時間。在移除聚合物材料150之後,再次暴露磊晶部(上部)220A。
參考第1和9A-9C圖,方法100包括方框114(在鰭之間的溝槽中沉積隔離部件),其中以介電材料填充相鄰鰭220之間的溝槽224以形成隔離部件252。隔離部件252可包括一個或多個介電層。用於隔離部件252的合適介電材料可包括氧化矽、氮化矽、碳化矽、氟矽酸鹽玻璃(FSG)、低介電常數(低k)介電材料及/或其他合適的介電材料。介電材料可通過任何合適的技術沉積,包括熱生長、化學氣相沉積(CVD)、高密度電漿化學氣相沉積(HDP-CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)及/或旋塗技術。然後,執行平坦化操作,例如化學機械研磨(CMP)方法,使得頂磊晶層214T的上表面從隔離部件252暴露出來。
參考第1和10A-10C圖,方法100包括方框116,其中凹陷隔離部件252以形成淺溝槽隔離(STI)部件(也表示為淺溝槽隔離部件252)。可使用任何合適的蝕刻技術來使隔離部件252凹陷,包括乾蝕刻、濕蝕刻、反應式離子蝕刻(RIE) 及/或其他蝕刻方法,並且在示例性實施例中,使用異向性乾蝕刻來選擇性地移除隔離部件252的介電材料而不蝕刻鰭220。在所示實施例中,通過凹陷隔離部件252之前執行的化學機械研磨製程移除遮罩層218。在一些實施例中,遮罩層218通過用於凹陷的蝕刻劑移除。在所示實施例中,淺溝槽隔離部件(隔離部件)252設置在高台(基部)220B的側壁上,並且淺溝槽隔離部件(隔離部件)252的頂面在高台(基部)220B的頂面下方凹陷約1nm至約10nm,使得高台(基部)220B的頂部垂直側壁和彎曲側壁的頂部暴露出來。或者,淺溝槽隔離部件(隔離部件)252的頂面可與磊晶部(上部)220A的底面(或高台(基部)220B的頂面)共面。方框116處的操作還可包括移除頂磊晶層214T的蝕刻製程。在一些實施例中,在沉積隔離部件252之前,襯墊層(未示出)毯覆沉積在鰭220上方。在一些實施例中,襯墊層由氮化矽(SiN)或氮化矽基材料(例如氮氧化矽(SiON)、氮碳化矽(SiCN)或氮碳氧化矽(SiOCN))。襯墊層與隔離部件252一起凹陷,使得鰭220的磊晶部(上部)220A(以及所示實施例中的高台(基部)220B的頂部)暴露出來。
參考第1和11A-11C圖,方法100包括方框118,其中在鰭220的通道區上方形成虛置閘極疊層260。在一些實施例中,採用閘極替換製程(或閘極後製製程),其中虛置閘極疊層260用作功能閘極結構的預留位置。其他製程和配置是可能的。在所示實施例中,虛置閘極疊層260包括虛置介電層和設置在虛置介電層上方的虛置電極。為了圖案化的目的,閘極頂部硬遮罩262沉積在虛置閘極疊層260上方。閘極頂部硬遮罩262可為多層,且包括氮化矽遮罩層262A和氮化矽遮罩層262A上方的氧化矽遮罩層262B。在虛置閘極疊層260下面的鰭220的區域可被稱為通道區。第一半導體鰭220-1或第二半導體鰭220-2中的每個通道區夾在於形成源/汲極的兩個源/汲極區之間。在示例製程中,虛置閘極疊層260中的 虛置介電層通過化學氣相沉積(CVD)毯覆沉積在工件200上方。然後在虛置介電層上方毯覆沉積用於虛置電極的材料層。然後使用微影製程,對虛置介電層和用於虛置電極的材料層進行圖案化,以形成虛置閘極疊層260。在一些實施例中,虛置介電層可包括氧化矽,且虛置電極可包括多晶矽(polysilicon)。
參考第1和12A-12C圖,方法100包括方框120,其中在虛置閘極疊層260的側壁上形成閘極間隔物264。在一些實施例中,閘極間隔物264可具有約2nm和約10nm之間的厚度。在一些實施例中,閘極間隔物264可包括介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽、氮碳化矽(SiCN)、碳氧化矽、氮碳氧化矽(SiOCN)、低介電常數(低k)材料及/、或上述之組合。在一些實施例中,閘極間隔物264包括多層,例如襯墊間隔層和主間隔層。舉例來說,可通過使用例如化學氣相沉積(CVD)製程、次常壓化學氣相沉積(SACVD)製程、流動式化學氣相沉積製程、原子層沉積(ALD)製程、物理氣相沉積(PVD)製程、或其他合適的過程的製程在工件(裝置)200上方共形沉積介電材料來形成閘極間隔物264。在介電材料的共形沉積之後,可回蝕刻用於形成閘極間隔物264的部分介電材料,以暴露沒有被虛置閘極疊層260覆蓋的鰭220的部分(例如,在如第12B圖中的源/汲極區域中)。在一些情況下,回蝕刻製程沿虛置閘極疊層260的頂面移除用於形成閘極間隔物264的部分介電材料,從而暴露閘極頂部硬遮罩262。在一些實施例中,回蝕刻製程可包括濕蝕刻製程、乾蝕刻製程、多步驟蝕刻製程、及/或上述之組合。值得注意的是,在回蝕刻製程之後,閘極側牆264仍然設置在虛置閘極疊層260的側壁上。
參考第1和13A-13C圖,方法100包括方框122,其中凹陷鰭220的源/汲極區以形成源/汲極凹陷266。利用虛置閘極疊層260和閘極間隔物264作為 蝕刻遮罩,異向性蝕刻工件200,以在鰭220的源/汲極區域上方形成源/汲極凹陷266。在一些實施例中,方框122處的操作從源/汲極區移除磊晶層214/216,也移除高台(基部)220B的頂部部分。在圖示的實施例中,源/汲極凹陷266延伸到高台(基部)220B中並且在隔離部件252的頂面下方。在實施例的進一步中,源/汲極凹陷266延伸至高台(基部)220B最窄寬度(表示為第13B圖中的最窄寬度e)位置的下方。也就是說,源/汲極區中的高台(基部)220B的其餘部分可具有大於其在通道區中的最窄寬度的最窄寬度。方框122處的異向性蝕刻可包括乾蝕刻製程。例如,乾蝕刻製程可實施氫氣、含氟氣體(例如,四氟化碳(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)及/或六氟乙烷(C2F6))、含氯氣體(例如,氯氣(Cl2)、三氯甲烷(CHCl3)、四氯化碳(CCl4)及/或三氯化硼(BCl3))、含溴氣體(例如溴化氫(HBr)及/或三溴甲烷(CHBr3)、含碘氣體、其他合適的氣體及/或電漿、及/或上述之組合。
參考第1和14A-14C圖,方法100包括方框124(在源/汲極凹陷中形成內部間隔物),其中在磊晶層214的橫向端部上形成內部間隔物268。在一些實施例中,執行橫向蝕刻(或水平凹陷)以使磊晶層214凹陷以形成磊晶層214的橫向端部上的空穴。磊晶層214的蝕刻量可在從約2nm至約10nm的範圍內。當磊晶層214為矽鍺(SiGe)時,橫向蝕刻製程可使用蝕刻劑,例如但不限於氫氧化銨(NH4OH)、四甲基氫氧化銨(TMAH)、乙二胺鄰苯二酚(EDP)或氫氧化鉀(KOH))溶液。隨後,在源/汲極凹陷266中沉積絕緣層並填充磊晶層214的橫向端部上的空穴。絕緣層可包括介電材料,例如氮化矽(SiN)或氮化矽基材料(例如氮化矽(SiN)、碳氧化矽(SiOC)、氮碳化矽(SiCN)、氮碳化矽(SiCN)、二氧化矽(SiO2)及/或其他合適的材料。在一些實施例中,絕緣層是保形沉積的,例如,通過原子 層沉積(ALD)或任何其他合適的方法。在絕緣層的共形沉積之後,執行回蝕刻製程以從空穴外部部分地移除絕緣層。通過這種蝕刻,絕緣層實質保留在空穴內,從而形成內部間隔物268。
參考第1和15A-15C圖,方法100包括方框126,其中在源/汲極凹陷266中形成磊晶源/汲極部件270。在一實施例中,形成磊晶源/汲極部件270包括通過分子束磊晶(MBE)製程、化學氣相沉積製程及/或其他合適的磊晶成長製程磊晶成長一個或多個半導體層。在另一實施例中,磊晶源/汲極部件270原位或異位摻雜n型摻質或p型摻質。例如,在一些實施例中,磊晶源/汲極部件270包括摻雜有磷的矽,用於形成n型場效電晶體的磊晶源/汲極部件。在一些實施例中,磊晶源/汲極部件270包括摻雜有硼的矽鍺(SiGe),用於形成p型場效電晶體的磊晶源/汲極部件。磊晶源/汲極部件270的半導體層選擇性地成長在暴露於源/汲極凹陷266中的不同半導體表面上,例如磊晶層216的橫向端部。磊晶源/汲極部件270的底部填充由高台(基部)220B凹陷形成的溝槽。因此,磊晶源/汲極部件270的底部的側壁具有曲率形狀。在所示實施例中,磊晶源/汲極部件270的最窄寬度(在第15B圖中標示為最窄寬度e)位於隔離部件252的頂面和高台(基部)220B的頂面之間。
參考第1和16A-16C圖,方法100包括方框128(沉積接觸蝕刻停止層和層間介電層),其中層間介電(ILD)層272位於磊晶源/汲極部件270上方。根據一些實施例,接觸蝕刻停止層(CESL)(未示出)也可形成在層間介電層272下方。接觸蝕刻停止層可包括氮化矽、氮氧化矽、具有氧(O)或碳(C)元素的氮化矽及/或其他材料;並且可通過化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、或其他合適的方法形成。層間介電層272可包括四乙基正矽酸鹽 (TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜矽氧化物,例如硼磷矽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽玻璃(PSG)、硼摻雜矽玻璃(BSG)及/或其他合適的介電材料。層間介電層272可通過電漿輔助化學氣相沉積(PECVD)或流動式化學氣相沉積(FCVD)或其他合適的方法形成。在一些實施例中,形成層間介電層272還包括執行化學機械研磨(CMP)製程以平坦化裝置200的頂面,從而暴露虛置閘極疊層260的頂面。
參考第1和17A-17C圖,方法100包括方框130(移除虛置閘極疊層和磊晶層),其中選擇性地移除虛置閘極疊層260和磊晶層214。通過選擇性蝕刻製程從工件200移除在方框128結束時暴露的虛置閘極疊層260。選擇性蝕刻製程可是選擇性濕蝕刻製程、選擇性乾蝕刻製程或其組合。在所描繪的實施例中,選擇性蝕刻製程選擇性地移除虛置介電層和虛置電極而實質不損傷磊晶層216和閘極間隔物264。移除虛置閘極疊層260導致在通道區上方形成閘極溝槽274。在移除虛置閘極疊層240之後,通道區中的磊晶層214、磊晶層216暴露在閘極溝槽274中。隨後,在方框130的操作從閘極溝槽274選擇性地移除磊晶層214,以釋放磊晶層216。磊晶層214的選擇性移除可通過選擇性乾蝕刻、選擇性濕蝕刻或其他選擇性蝕刻製程來實現。在一些實施例中,選擇性濕蝕刻包括氫氧化氨(NH4OH)、氟化氫(HF)、過氧化氫(H2O2)、或上述之組合(例如,APM蝕刻包括氫氧化氨-過氧化氫-水混合物)。在一些替代實施例中,選擇性移除包括矽鍺氧化,然後移除矽鍺氧化物。例如,可通過臭氧清潔提供氧化,然後通過例如氫氧化氨(NH4OH)的蝕刻劑移除矽鍺氧化物。為簡單明瞭起見,在方框130的最後,將磊晶層216表示為通道層216。此時,在待形成的全繞式閘極(GAA)電晶體的通道區域中形成垂直堆疊的通道層(磊晶層)216。
參考第1和18A-18C圖,方法100包括方框132(以金屬閘極結構取代虛置閘極堆疊),其中金屬閘極結構280形成在閘極溝槽274中,包裹通道區中的每個通道層216。金屬閘極結構280也接合高台(基部)220B的頂面。金屬閘極結構280的底部可沿著高台(基部)220B的曲率側壁延伸到隔離部件252的頂面下方的位置,如第18A圖所示。由於調整的側壁輪廓,金屬閘極結構280的一部分直接位於高台(基部)220B下方。此外,直接與高台(基部)220B的側壁連接的金屬閘極結構280的部分可不平整,使得一側比另一側更進一步延伸到隔離部件252中。內部間隔物268將金屬閘極結構280與磊晶源/汲極部件270分開。即使在高台(基部)220B的頂部和金屬閘極結構280之間仍然存在接觸區域,當金屬閘極結構280將閘極驅動電壓施加到高台(基部)220B的頂部時,高台220B的剖面積已經縮小,並且抑制了堆疊通道層下方的漏電流。
金屬閘極結構280包括閘極介電層,將每一通道層216包裹於通道區中,以及閘電極層形成於閘極介電層上。在一些實施例中,閘極介電層包括一層或多層介電材料,例如氧化矽、氮化矽或高k介電材料、其他合適的介電材料、及/或上述之組合。高k介電材料的示例包括二氧化鉿(HfO2)、矽氧化鉿(HfSiO)、氮氧矽化鉿(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高k介電材料、及/或上述之組合。在一些實施例中,閘極介電層包括形成在通道結構和介電材料之間的界面層。閘極介電層可通過化學氣相沉積(CVD)、原子層沉積(ALD)或任何合適的方法形成。在一實施例中,閘極介電層使用例如原子層沉積(ALD)的高度共形沉積製程形成,以確保在每個通道層周圍形成具有均勻厚度的閘極介電層。閘電極層形成在閘極介電層上以圍繞每個通 道結構。閘電極層包括一層或多層導電材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮碳化鉭(TaCN)、碳化鉭(TaC)、氮化鉭矽(TaSiN)、金屬合金、其他合適的材料、及/或上述之組合。閘電極層可通過化學氣相沉積(CVD)、原子層沉積(ALD)、電鍍或其他合適的方法形成。在本揭露的某些實施例中,一個或多個功函數調整層插入在閘極介電層和閘電極層之間。功函數調整層由氮化鈦(TiN)、氮化鉭(TaN)、碳化鉭鋁(TaAlC)、碳化鈦(TiC)、碳化鉭(TaC)、鈷(Co)、鋁(Al)、鈦鋁(TiAl)、鈦鉿(HfTi)、矽化鈦(TiSi)、矽化鉭(TaSi)或碳化鈦鋁(TiAlC)的單層、或這些導電材料的兩種或多種的多層構成。材料。對於n通道場效電晶體,使用氮化鉭(TaN)、碳化鉭鋁(TaAlC)、氮化鈦(TiN)、碳化鈦(TiC)、鈷(Co)、鈦鋁(TiAl)、鈦鉿(HfTi)、矽化鈦(TiSi)和矽化鉭(TaSi)中的一種或多種作為功函數調整層,對於p通道場效電晶體,使用碳化鉭鋁(TaAlC)、鋁(Al)、鈦鋁(TiAl)、氮化鉭(TaN)、碳化鈦鋁(TiAlC)、氮化鈦(TiN)、碳化鈦(TiC)和鈷(Co)中的一種或多種作為功函數調整層。功函數調整層可通過原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電子束蒸鍍或其他合適的製程形成。此外,對於可使用不同金屬層的n通道場效電晶體和p通道場效電晶體,可分別形成功函數調整層。
工件200可經受進一步製程以形成本領域已知的各種部件和區域。例如,後續處理可在工件200上形成各種接觸、通孔、金屬線和多層內連線部件(例如,金屬層和層間介電質),被配置為連接各種部件以形成可包括一個或多個多閘極裝置的功能電路。在進一步的示例中,多層內連線可包括例如通孔或接觸的垂直內連線,以及例如金屬線的水平內連線。各種內連線部件可採用 各種導電材料,包括銅、鎢及/或矽化物。在一個示例中,使用鑲嵌及/或雙鑲嵌製程來形成與銅相關的多層互連結構。此外,可在方法100之前、期間和之後實施額外的製程步驟,並且可根據方法100的各種實施例替換或消除上述的一些製程步驟。
儘管不意欲進行限制,但本揭露的一個或多個實施例為半導體裝置及其形成方法提供了許多益處。本揭露提供了形成多閘極裝置的方法,上述多閘極裝置具有懸浮在具有凹陷側壁的高台上方的通道層。這種側壁輪廓增加了沿著穿過高台進入基板的電流路徑的電阻。因此,這提供了抑制基板漏電流和降低功耗的益處。此外,具有調整的高台側壁輪廓的多閘極裝置流程可很容易地積集到現有的半導體製程中。
在一個實施例中,本揭露涉及一種半導體裝置的製造方法。方法包括形成鰭,鰭具有磊晶部和從基板突出的基部,基部的多個側壁相對於磊晶部的多個側壁呈錐形,在磊晶部的側壁上沉積聚合物材料,執行蝕刻製程以調整基部的多個側壁的輪廓,使得基部的多個側壁以位於基部的頂面下方的該基部的最窄寬度橫向凹陷,從磊晶部的側壁移除聚合物材料,在基極部分的多個側壁上沉積隔離部件,以及形成接合磊晶部的閘極結構。在一些實施例中,鰭的形成包括在基板上形成多個通道層和多個犧牲層的磊晶堆疊,多個通道層和多個犧牲層具有不同的材料成分並且在垂直方向上交替設置,在第一蝕刻製程中圖案化磊晶堆疊,從而形成磊晶部,以及在不同於第一蝕刻製程的第二蝕刻製程中圖案化基板的頂部,從而形成基部。在一些實施例中,在第一蝕刻製程期間沉積聚合材料。在一些實施例中,聚合物材料包括含氟聚合物。在一些實施例中,含氟聚合物包括CwHxFyOz,w、x、y和z分別代表碳(C)、氫(H)、氟(F) 和氧(O)的原子百分比。在一些實施例中,在執行蝕刻製程之後,基部的多個側壁具有朝向基部的中心彎曲的曲率形狀。在一些實施例中,在執行蝕刻製程之後,基部的最窄寬度與基部的頂面的寬度的比值在約0.5至約0.9的範圍內。在一些實施例中,在聚合物材料沉積之後,沿著磊晶部的側壁從頂部到底部,聚合物材料的厚度通常減小。在一些實施例中,在形成閘極結構之後,閘極結構的一部分在隔離部件的頂面下方延伸。在一些實施例中,閘極結構的部分位於基部的頂面的正下方。
在另一個示例性方面,本揭露涉及一種半導體裝置的製造方法。方法包括在基板上形成磊晶層,圖案化磊晶層和基板的頂部,以分別形成鰭狀磊晶層和鰭狀磊晶層下方的高台,沉積覆蓋鰭狀磊晶層的多個側壁的聚合物層,進行蝕刻製程,以橫向凹陷高台的多個側壁,使得高台的寬度小於鰭狀磊晶層的寬度,移除聚合物層,以暴露鰭狀磊晶層的多個側壁,以及在鰭形磊晶層上形成閘極結構。在一些實施例中,高台的寬度與鰭狀磊晶層的寬度的比值在約0.5至約0.9的範圍內。在一些實施例中,在執行蝕刻製程之前,鰭狀磊晶層的側壁為實質上垂直並且高台的多個側壁為錐形。在一些實施例中,在執行蝕刻製程之後,高台的多個側壁具有凹形輪廓。在一些實施例中,方法更包括在移除聚合物層之後,在高台的多個側壁上形成隔離部件,高台的最窄寬度位於隔離部件的頂面之下。在一些實施例中,方法更包括凹陷高台的頂面到最窄寬度所在的位置下方的位置,以及從高台的凹陷頂面磊晶成長源/汲極部件。在一些實施例中,閘極結構直接與高台的頂面接合。
在另一個示例性方面,本揭露涉及一種半導體裝置。半導體裝置包括設置在高台上方的多個通道層,高台的多個側壁朝向高台的中心向內彎 曲,使得高台的中部的寬度窄於高台的頂部的寬度,閘極結構包裹多個通道層的每一個並與高台物理接觸,鄰接多個通道層的磊晶源/汲極部件,以及沉積在高台的多個側壁和磊晶源/汲極部件的多個側壁上的隔離部件。在一些實施例中,高台的多個側壁的上部具有垂直輪廓,而高台的多個側壁的下部具有曲率輪廓。在一些實施例中,磊晶源/汲極部件的最窄寬度位於隔離部件的頂面之下。
以上概述數個實施例之特徵,以使所屬技術領域中具有通常知識者可更加理解本揭露實施例的觀點。所屬技術領域中具有通常知識者應理解,可輕易地以本揭露實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在所屬技術領域中具有通常知識者也應理解,此類均等的結構並無悖離本揭露的精神與範圍,且可在不違背本揭露之精神和範圍下,做各式各樣的改變、取代和替換。
100:方法
102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132:方框

Claims (10)

  1. 一種半導體裝置的製造方法,包括:形成一鰭,該鰭具有一磊晶部和從一基板突出的一基部,其中該基部的多個側壁相對於該磊晶部的多個側壁呈錐形;在該磊晶部的該些側壁上沉積一聚合物材料;進行一蝕刻製程以調整該基部的該些側壁的一輪廓,使得該基部的該些側壁以位於該基部的一頂面下方的該基部的一最窄寬度橫向凹陷,且使得該基部的該些側壁在垂直該基部的該頂面的一方向上與該基部的該頂面部分重疊;從該磊晶部的該些側壁移除該聚合物材料;在該基部的該些側壁上沉積一隔離部件;以及形成接合該磊晶部的一閘極結構。
  2. 如請求項1之半導體裝置的製造方法,其中形成該鰭包括:在該基板上形成多個通道層和多個犧牲層的一磊晶堆疊,該些通道層和該些犧牲層具有不同的材料成分並且在一垂直方向上交替設置;在一第一蝕刻製程中圖案化該磊晶堆疊,從而形成該磊晶部;以及在不同於該第一蝕刻製程的一第二蝕刻製程中圖案化該基板的一頂部,從而形成該基部。
  3. 如請求項2之半導體裝置的製造方法,其中在該第一蝕刻製程期間沉積該聚合物材料。
  4. 如請求項1或2之半導體裝置的製造方法,其中該聚合物材料包括一含氟聚合物。
  5. 一種半導體裝置的製造方法,包括: 在一基板上形成一磊晶層;圖案化該磊晶層和該基板的一頂部,以分別形成一鰭狀磊晶層和該鰭狀磊晶層下方的一高台;沉積覆蓋該鰭狀磊晶層的多個側壁的一聚合物層;進行一蝕刻製程,以橫向凹陷該高台的多個側壁,使得該高台的一寬度小於該鰭狀磊晶層的一寬度,且使得該高台的該些側壁在垂直該高台的一頂面的一方向上與該高台的該頂面部分重疊;移除該聚合物層,以暴露該鰭狀磊晶層的該些側壁;以及在該鰭狀磊晶層上形成一閘極結構。
  6. 如請求項5之半導體裝置的製造方法,更包括:在移除該聚合物層之後,在該高台的該些側壁上形成一隔離部件,其中該高台的一最窄寬度位於該隔離部件的一頂面之下。
  7. 如請求項6之半導體裝置的製造方法,更包括:凹陷該高台的該頂面到該最窄寬度的所在位置下方的一位置;以及從該高台的凹陷的該頂面磊晶成長一源/汲極部件。
  8. 一種半導體裝置,包括:多個通道層,設置在一高台上方,其中該高台的多個側壁朝向該高台的一中心向內彎曲,使得該高台的一中部的一寬度窄於該高台的一頂部的一寬度,且使得該高台的該些側壁在垂直該高台的該頂部的一頂面的一方向上與該高台的該頂部的該頂面部分重疊;一閘極結構,包裹該些通道層的每一個並與該高台物理接觸;一磊晶源/汲極部件,鄰接該些通道層;以及 一隔離部件,沉積在該高台的該些側壁和磊晶源/汲極部件的多個側壁上。
  9. 如請求項8之半導體裝置,其中該高台的該些側壁的一上部具有一垂直輪廓,並且該高台的該些側壁的一下部具有一曲率輪廓。
  10. 如請求項8或9之半導體裝置,其中該磊晶源/汲極部件的一最窄寬度位於該隔離部件的一頂面之下。
TW111120529A 2021-06-24 2022-06-02 半導體裝置及其製造方法 TWI820735B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163214336P 2021-06-24 2021-06-24
US63/214,336 2021-06-24
US17/721,778 US20220416046A1 (en) 2021-06-24 2022-04-15 Semiconductor Device With Leakage Current Suppression And Method Of Forming The Same
US17/721,778 2022-04-15

Publications (2)

Publication Number Publication Date
TW202303964A TW202303964A (zh) 2023-01-16
TWI820735B true TWI820735B (zh) 2023-11-01

Family

ID=84024460

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111120529A TWI820735B (zh) 2021-06-24 2022-06-02 半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US20220416046A1 (zh)
CN (1) CN217847962U (zh)
TW (1) TWI820735B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190198639A1 (en) * 2017-12-27 2019-06-27 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20200357655A1 (en) * 2015-07-02 2020-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Fin Field Effect Transistor (FinFET) Device Structure and Method for Forming the Same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200357655A1 (en) * 2015-07-02 2020-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Fin Field Effect Transistor (FinFET) Device Structure and Method for Forming the Same
US20190198639A1 (en) * 2017-12-27 2019-06-27 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20210013324A1 (en) * 2017-12-27 2021-01-14 Samsung Electronics Co., Ltd. Semiconductor device including non-sacrificial gate spacers and method of fabricating the same

Also Published As

Publication number Publication date
US20220416046A1 (en) 2022-12-29
CN217847962U (zh) 2022-11-18
TW202303964A (zh) 2023-01-16

Similar Documents

Publication Publication Date Title
TWI710062B (zh) 切割金屬閘極之方法
TWI755106B (zh) 半導體結構及其形成方法
US11532627B2 (en) Source/drain contact structure
CN110943044B (zh) 半导体装置及其制造方法
TW202205449A (zh) 半導體裝置及其形成方法
KR102458020B1 (ko) 게이트 격리 구조물
TW202228245A (zh) 半導體結構
TWI824500B (zh) 半導體結構及其形成方法
TW202217977A (zh) 半導體裝置及其形成方法
TWI801864B (zh) 半導體裝置及其形成方法
TWI806113B (zh) 製造半導體裝置的方法和半導體裝置
TW202234581A (zh) 半導體結構及其形成方法
TW202201558A (zh) 製造半導體裝置的方法
TW202240774A (zh) 半導體裝置
TWI818420B (zh) 半導體裝置及其形成方法
TWI818315B (zh) 半導體裝置及其形成方法
US11967526B2 (en) Integrated circuit structure and manufacturing method thereof
KR20230109536A (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
TWI820735B (zh) 半導體裝置及其製造方法
CN218004857U (zh) 半导体装置
US11888049B2 (en) Dielectric isolation structure for multi-gate transistors
US11935958B2 (en) Semiconductor device structure and method for forming the same
TWI762249B (zh) 半導體結構及其製造方法
US20230402521A1 (en) Semiconductor device structure and methods of forming the same
TW202322398A (zh) 半導體結構及其製造方法