TWI818420B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI818420B
TWI818420B TW111102555A TW111102555A TWI818420B TW I818420 B TWI818420 B TW I818420B TW 111102555 A TW111102555 A TW 111102555A TW 111102555 A TW111102555 A TW 111102555A TW I818420 B TWI818420 B TW I818420B
Authority
TW
Taiwan
Prior art keywords
layer
gate
gate stack
conductive
sub
Prior art date
Application number
TW111102555A
Other languages
English (en)
Other versions
TW202238693A (zh
Inventor
熊德智
吳俊德
王誼珍
張亦諄
涂元添
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202238693A publication Critical patent/TW202238693A/zh
Application granted granted Critical
Publication of TWI818420B publication Critical patent/TWI818420B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/515Insulating materials associated therewith with cavities, e.g. containing a gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種方法,包括:移除虛設閘極堆疊物,以在閘極間隔物之間形成第一溝槽;在第一溝槽形成替換閘極堆疊物;將替換閘極堆疊物凹陷,以在閘極間隔物之間形成第二溝槽;在第二溝槽選擇性地沉積導電蓋層;在第二溝槽中及在導電蓋層的上方形成介電硬遮罩;以及使用蝕刻氣體來蝕刻介電硬遮罩,以在介電硬遮罩形成開口。開口顯露出替換閘極堆疊物。與替換閘極堆疊物比較,導電蓋層較能抵抗蝕刻氣體。上述方法還包括在導電蓋層的上方形成閘極接觸插塞,閘極接觸插塞接觸導電蓋層。

Description

半導體裝置及其形成方法
本發明實施例是關於半導體裝置及其形成方法,特別是關於具有金屬閘極及導電蓋層的電晶體及其形成方法。
為了達成較快速度、較低能耗及較高的集積等級,將電晶體製造得愈來愈小。例如,將電晶體的金屬閘極製造得愈來愈窄。上述金屬閘極與上方的閘極接觸插塞之間的接觸面積亦變得更小,而導致較高的接觸電阻。
一實施例是關於一種半導體裝置的形成方法。上述半導體裝置的形成方法包括:移除一虛設閘極堆疊物,以在複數個第一閘極間隔物之間形成一第一溝槽;在上述第一溝槽形成一第一替換閘極堆疊物;將上述第一替換閘極堆疊物凹陷,以在上述第一閘極間隔物之間形成一第二溝槽;在上述第二溝槽選擇性地沉積一第一導電蓋層;在上述第二溝槽中及在上述第一導電蓋層的上方形成一第一介電硬遮罩;使用一蝕刻氣體來蝕刻上述介電硬遮罩,以在上述介電硬遮罩形成一開口,其中上述開口顯露出上述第一替換閘極堆疊物;以及在上述第一導電蓋層的上方形成一閘極接觸插塞,上述閘極接觸插塞接觸上述第一導電蓋層。
另一實施例是關於一種半導體裝置。上述半導體裝置包括:一半導體區;複數個閘極間隔物,在上述半導體區上;一閘極堆疊物,在上述半導體區的上方且在上述閘極間隔物之間,其中上述閘極堆疊物包括複數層;一導電蓋層在上述閘極堆疊物的上述層的上方並接觸上述閘極堆疊物的上述層;一介電硬遮罩,在上述導電蓋層的上方且在上述閘極間隔物之間;以及一閘極接觸插塞,穿過上述介電硬遮罩而落在上述導電蓋層上。
又另一實施例是關於一種半導體裝置。上述半導體裝置包括:一源極區與一汲極區;一閘極堆疊物,在上述源極區與上述汲極區之間,其中上述閘極堆疊物包括複數層,每個上述層具有一盆形(basin shape)而包括一底部及複數個側壁部,上述側壁部在上述底部的上方並與上述底部結合;複數個閘極間隔物,在上述閘極堆疊物的兩側壁上;以及一導電層,在上述層的上述側壁部的頂表面的上方並接觸上述層的上述側壁部的頂表面。
以下揭露內容提供了許多不同的實施例或範例,用於實施所提供之申請專利之發明的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例的說明。當然,這些僅僅是範例,並非用以限定本發明的實施例。舉例而言,以下敘述中提及第一部件形成於第二部件上或上方,可能包含第一與第二部件直接接觸的實施例,也可能包含額外的部件形成於第一與第二部件之間,使得第一與第二部件不直接接觸的實施例。此外,本發明實施例在各種範例中可能重複元件符號的數字及/或字母,此重複是為了簡化和清楚,並非在討論的各種實施例及/或組態之間指定其關係。
再者,在此可使用空間相對用詞,例如「在……下方」、「在……下」、「低於」、「下方的」、「在……上」、「高於」、「上方的」及類似的用詞以助於描述圖中所示之其中一個元件或部件相對於另一(些)元件或部件之間的關係。這些空間相對用詞係用以涵蓋圖式所描繪的方向以外,使用中或操作中之裝置的不同方向。裝置可能被轉向(旋轉90度或其他方向),且可與其相應地解釋在此使用之空間相對描述。
本發明實施例提供具有金屬閘極及導電蓋層的電晶體及其形成方法。根據本發明實施例的一些實施形態,形成一電晶體的一替換閘極堆疊物。將上述替換閘極堆疊物凹陷,在上述替換閘極堆疊物上形成一導電蓋層。上述導電蓋層可具有一電導值,其高於上述替換閘極堆疊物中的至少一些層(例如,功函數層)的電導值。對於將上述替換閘極堆疊物中的閘極電極電性連接至一上層的閘極接觸插塞,達成低接觸電阻。儘管一鰭式場效電晶體(Fin Field-Effect Transistor ;FinFET)是用來作為一範例,但是例如平面電晶體與奈米結構電晶體(例如,全繞式閘極(gate-all-around;GAA)電晶體、奈米線電晶體(nanowire transistors)、奈米片電晶體(nanosheet transistors)等等)等的其他形式的電晶體,均涵蓋於本發明實施例的範圍。此處討論的實施形態是提供範例,而使本發明實施例的標的可以據以實現,而本發明實施例所屬技術領域中具有通常知識者將會輕易理解可進行許多修飾,而這些修飾均落於不同實施形態所涵蓋的範圍內。綜觀各種圖式及所繪示的實施形態,類似的元件符號是用來代表類似的元件。儘管可能以使用一特定順序施行來討論方法實施形態,其他方法實施形態可以以任何合乎邏輯的順序施行。
第1至6、7A、7B、8、9A、9B、10至11、12A、12B、13A、13B與14A圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖與剖面圖。對應的製程亦示意性地反映於在第16圖所示的製程流程。
請參考第1圖,提供基底20。基底20可為一半導體基底,例如一塊狀(bulk)半導體基底、一絕緣體上覆半導體(semiconductor-on-insulator;SOI)基底或類似基底,可為已摻雜(舉例而言:以p型或n型摻雜物摻雜)或未摻雜。基底20可為一晶圓10例如一矽晶圓的一部分。一般而言,一絕緣體上覆半導體基底為形成於一絕緣層上的一層半導體材料。例如,上述絕緣層可為一埋入式氧化物(buried oxide;BOX)層、氧化矽層或類似膜層。上述絕緣層是提供於一基底上,上述基底一般為矽或玻璃基底。亦可使用其他基底,例如一多層(multi-layered)或漸變(gradient)基底。在一些實施例中,基底20的半導體材料可包括:矽;鍺;化合物半導體,包括摻碳的矽、砷化鎵、磷化鎵、磷化銦、砷化銦及∕或銻化銦;合金半導體,包括矽鍺(SiGe)、矽磷(SiP)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)及∕或磷砷化鎵銦(GaInAsP);或上述之組合。
另外,請參考第1圖,在基底20形成一井區22。對應的製程是繪示為如第16圖所示的製程流程200中的製程202。根據本發明實施例的一些實施形態,井區22是一p型井區,其經由將一p型不純物佈植進基底20而形成,上述p型不純物可以是硼、銦或類似物。根據本發明實施例的一些其他實施形態,井區22是一n型井區,其經由將一n型不純物佈植進基底20而形成,上述n型不純物可以是磷、砷、銻或類似物。所得到的井區22可以延伸至基底20的頂表面。上述n型或p型不純物的濃度可以是小於或等於約10 18cm -3例如在約10 17cm -3與約10 18cm -3之間的範圍。
請參考第2圖,形成複數個隔離區,其從半導體的基底20的一頂表面延伸至半導體的基底20中。在後文,將上述隔離區改稱為淺溝槽隔離(Shallow Trench Isolation;STI)區24。對應的製程是繪示為如第16圖所示的製程流程200中的製程204。將半導體的基底20在相鄰的淺溝槽隔離區24之間的部分稱為半導體條26。為了形成淺溝槽隔離區24,在半導體的基底20上形成墊氧化物層28與硬遮罩層30,然後將墊氧化物層28與硬遮罩層30圖形化。墊氧化物層28可以是一薄的膜層,其由氧化矽形成。根據本發明實施例的一些實施形態,使用一加熱氧化製程來形成墊氧化物層28,其中將半導體的基底20的一頂表面層氧化。墊氧化物層28作為在半導體的基底20與硬遮罩層30之間的一黏著層。墊氧化物層28亦可作為用於蝕刻硬遮罩層30時的蝕刻停止層。根據本發明實施例的一些實施形態,例如是以氮化矽來形成硬遮罩層30,其是使用低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition;LPCVD)、原子層沉積(Atomic Layer Deposition;ALD)、電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition;HDPCVD)或類似方法來沉積。在硬遮罩層30上形成一光阻(未繪示),然後將上述光阻圖形化。然後,使用上述圖形化的光阻作為一蝕刻遮罩,將硬遮罩層30圖形化,以形成如第2圖所示的作為硬遮罩的圖形化的硬遮罩層30。
接下來,使用圖形化的硬遮罩層30作為一蝕刻遮罩,蝕刻墊氧化物層28與基底20,後接以一或多種介電材料來填充因此而形成在基底20中的溝槽。施行例如一化學機械研磨(Chemical Mechanical Polish;CMP)製程或一機械研削(mechanical grinding)製程等的一平坦化製程,以移除上述介電材料的多餘部分,而上述介電材料的留下來的部分則為淺溝槽隔離區24。淺溝槽隔離區24可包括一襯墊介電質(未繪示),其可以是經由基底20的一表層的加熱氧化而形成的一熱氧化物層。上述襯墊介電質亦可以是一沉積的氧化矽層、氮化矽層或類似物,其形成是使用例如原子層沉積(Atomic Layer Deposition;ALD)、低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition;LPCVD)、電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;PECVD)、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition;HDPCVD或化學氣相沉積(Chemical Vapor Deposition ;CVD)。淺溝槽隔離區24亦可包括在上述襯墊氧化物上方的一介電材料,其中可以使用流動式化學氣相沉積(Flowable Chemical Vapor Deposition;FCVD)、旋轉塗布法(spin-on coating)或類似方法來形成上述介電材料。根據一些實施例,在上述襯墊介電質的上方的上述介電材料可包括氧化矽。
圖形化的硬遮罩層30的頂表面與淺溝槽隔離區24的頂表面可以實質上彼此齊平。半導體條26在相鄰的淺溝槽隔離區24之間。根據本發明實施例的一些實施形態,半導體條26是原始的基底20的一部分,因此半導體條26的材料與基底20的材料相同。根據本發明實施例的替代性的實施形態,半導體條26是替代條狀物,藉由以下而形成:蝕刻基底20在淺溝槽隔離區24之間的部分以形成複數個凹部;以及施行一磊晶製程以在上述凹部重新成長另一半導體材料。因此,半導體條26是以與基底20的半導體材料不同的一半導體材料形成。根據一些實施例,半導體條26是以矽鍺、矽碳(silicon carbon)或一III-V族化合物半導體材料形成。
請參考第3圖,將淺溝槽隔離區24凹陷而具有頂表面24TS與底表面24BS,而使半導體條26的頂部突出而高於淺溝槽隔離區24的留下來的部分的頂表面24TS,以形成突出的鰭狀物36。對應的製程是繪示為如第16圖所示的製程流程200中的製程206。可以使用一乾式蝕刻製程來施行其蝕刻,其中使用HF、NH 3、C xH yF z(其中 x=1~6、y=0~9及 z=0~12)、NF 3、HBr、CO、CO 2、COS、SO 2、SF 6、BCl 3、Cl 2、CF 4、CH 4、CHF 3、TiCl x、TaCl x、WCl x或類似物來作為蝕刻氣體。在上述蝕刻製程的期間,可以生成電漿。亦可包括氬、O 2、N 2、H 2。根據本發明實施例的替代性的實施形態,使用一濕式蝕刻製程來施行淺溝槽隔離區24的凹陷。其蝕刻藥劑可包括例如稀釋的氫氟酸(diluted HF)。
在前述的實施例中,可藉由任何方法圖形化上述鰭狀物。例如,可使用一或多道光學微影製程來圖形化上述鰭狀物,包括雙重圖形化(double-patterning)或多重圖形化(multi-patterning)製程。一般而言,雙重圖形化或多重圖形化製程結合光學微影與自對準(self-aligned)製程,得以產生具有例如節距(pitch)小於使用單一、直接的光學微影製程可另外獲得的節距之圖形。例如,在一實施例中,一犧牲層形成於一基底的上方並利用一光學微影製程加以圖形化。利用一自對準製程在圖形化的犧牲層一旁形成間隔物。接著移除上述犧牲層,且留下來的間隔物或心軸(mandrels)接著可用以圖形化上述鰭狀物。
請參考第4圖,形成複數個虛設閘極堆疊物38,其在(突出的)鰭狀物36的頂表面及側壁上延伸。對應的製程是繪示為如第16圖所示的製程流程200中的製程208。虛設閘極堆疊物38可包括虛設閘極介電質40(第7B圖)與在虛設閘極介電質40的上方的虛設閘極電極42。可使用例如多晶矽來形成虛設閘極電極42,而亦可以使用其他材料。每個虛設閘極堆疊物38亦可包括一(或複數個)硬遮罩層44,硬遮罩層44在虛設閘極電極42的上方。可以以氮化矽、氧化矽、氮碳化矽(silicon carbo-nitride)或上述之多層來形成硬遮罩層44。虛設閘極堆疊物38可能會跨過一單一的或複數個突出的鰭狀物36及/或淺溝槽隔離區24。虛設閘極堆疊物38所具有的縱向方向亦正交於突出的鰭狀物36的縱向方向。
接下來,將複數個閘極間隔物46形成在虛設閘極堆疊物38的側壁上。對應的製程是繪示為如第16圖所示的製程流程200中的製程208。根據本發明實施例的一些實施形態,是以例如氮化矽、氮碳化矽、氮碳氧化矽(silicon oxy-carbo-nitride)或類似物等地一或多種介電材料來形成閘極間隔物46,且閘極間隔物46可以具有一單層結構或包括複數個介電層的一多層結構。
然後,施行一蝕刻製程以蝕刻突出的鰭狀物36未被虛設閘極堆疊物38與閘極間隔物46覆蓋的部分,結果成為示於第5圖的結構。對應的製程是繪示為如第16圖所示的製程流程200中的製程210。此一凹陷可為非等向性,因此突出的鰭狀物36在虛設閘極堆疊物38及閘極間隔物46的正下方的部分受到保護而未被蝕刻。根據一些實施例,凹陷後的半導體條26的頂表面可能會低於淺溝槽隔離區24的頂表面24TS。因此,形成複數個凹部50。凹部50包括位於虛設閘極堆疊物38的兩側的部分以及在突出的鰭狀物36的留下來的部分之間的部分。
接下來,藉由在凹部50選擇性地成長(經由磊晶)一半導體材料而形成複數個磊晶區(源極/汲極區)54,結果成為示於第6圖的結構。對應的製程是繪示為如第16圖所示的製程流程200中的製程212。依存於所得到的鰭式場效電晶體是否為一p型鰭式場效電晶體或一n型鰭式場效電晶體,可以隨著上述磊晶的進行而同步(in-situ)摻雜一p型或一n型不純物。例如,當所得到的鰭式場效電晶體是一p型鰭式場效電晶體,可以長出矽鍺硼(silicon germanium boron;SiGeB)或矽硼(silicon boron;SiB)。相反地,當所得到的鰭式場效電晶體是一n型鰭式場效電晶體,可以長出矽磷(silicon phosphorous;SiP)或矽碳磷(silicon carbon phosphorous;SiCP)。根據本發明實施例的替代性的實施形態,磊晶區54包括III-V族化合物半導體,例如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、上述之組合或上述之多層。在以磊晶區54填充凹部50之後,磊晶區54的進一步成長導致磊晶區54的水平擴張,而可能會形成刻面(facet)。上述磊晶區54的進一步成長可能亦會導致相鄰的磊晶區54彼此合併,可能會產生空孔(空氣間隙)56。
在上述磊晶步驟之後,可以對磊晶區54進一步摻雜一p型或一n型不純物,以形成源極區與汲極區,其亦使用元件符號「54」來標示。根據本發明實施例的替代性的實施形態,在上述磊晶的期間以上述p型或n型不純物對磊晶區54同步摻雜時,則跳過上述佈植步驟。
第7A圖繪示在形成接觸蝕刻停止層(Contact Etch Stop Layer;CESL)58及層間介電質(Inter-Layer Dielectric;ILD)60以後的結構的透視圖。對應的製程是繪示為如第16圖所示的製程流程200中的製程214。接觸蝕刻停止層58是以氧化矽、氮化矽、氮碳化矽或類似物所形成,並可以使用化學氣相沉積(CVD)、原子層沉積(ALD)或類似方法來形成。層間介電質60可以包括使用例如流動式化學氣相沉積(FCVD)、旋轉塗布法、化學氣相沉積(CVD)或另外的沉積方法形成的一介電材料。層間介電質60可以以一含氧的介電材料形成,其可以是氧化矽類的材料,例如氧化矽、磷矽酸鹽玻璃(Phospho-Silicate glass;PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass;BSG)、硼磷矽酸鹽玻璃(Boron-Doped Phospho-Silicate Glass;BPSG)或類似物。施行例如一化學機械研磨(CMP)製程或一機械研削製程等的一平坦化製程,以使層間介電質60、虛設閘極堆疊物38以及閘極間隔物46彼此齊平。
第7B圖繪示在第7A圖的參考剖面7B-7B,其中繪示出虛設閘極堆疊物38。接下來,對包括硬遮罩層44、虛設閘極電極42及虛設閘極介電質40的虛設閘極堆疊物38進行蝕刻,而如第8圖所示,在閘極間隔物46之間形成複數個溝槽62。對應的製程是繪示為如第16圖所示的製程流程200中的製程216。突出的鰭狀物36的頂表面與側壁暴露於溝槽62。
接下來,如第9A與9B圖所示,在溝槽62(第8圖)形成替換閘極堆疊物80。對應的製程是繪示為如第16圖所示的製程流程200中的製程218。替換閘極堆疊物80包括閘極介電質68與對應的閘極電極78。
第9B圖繪示第9A圖中的參考剖面9B-9B。根據本發明實施例的一些實施形態,如第9B圖所示,閘極介電質68在其下部包括界面層(Interfacial Layer;IL)64。界面層64形成在突出的鰭狀物36的暴露的表面上。界面層64可包括氧化物層,例如氧化矽層或氧化矽鍺層,其是經由突出的鰭狀物36的加熱氧化、一化學性氧化製程或一沉積製程而形成。閘極介電質68亦可包括沉積在界面層64的上方的高介電常數(高k)介電層66。高介電常數介電層66包括一高介電常數介電材料,例如氧化鉿、氧化鑭、氧化鋁、氧化鋯或類似物。上述高介電常數介電材料的介電常數(k值)是大於3.9,並可高於約7.0或更高。高介電常數介電層66是在界面層64之上,並可以接觸界面層64。將高介電常數介電層66形成為一共形(conformal)層,並使其在突出的鰭狀物36的側壁上以及在閘極間隔物46的頂表面上及側壁上延伸。根據本發明實施例的一些實施形態,是使用原子層沉積(ALD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、高密度電漿化學氣相沉積(HDP-CVD)、流動式化學氣相沉積(FCVD)、分子束磊晶(Molecular-Beam Deposition;MBD)或類似方法來形成高介電常數介電層66。
請進一步參考第9B圖,在閘極介電質68上形成閘極電極78。閘極電極78可包括複數個堆疊層(黏著層70、功函數層72與蓋層74)以及填充金屬區76,可以將堆疊層(黏著層70、功函數層72與蓋層74)形成為共形層,填充金屬區76則填充溝槽未被複數個堆疊層(黏著層70、功函數層72與蓋層74)填滿的餘留空間。每個複數個堆疊層(黏著層70、功函數層72與蓋層74)可具有一盆形(shape of a basin)而包括一底部及複數個側壁部,上述側壁部形成一環狀物並與上述底部結合(如在第9B圖的剖面圖所示)。以下討論替換閘極堆疊物80的簡要的形成製程。要瞭解的是,所討論的各層是作為例示,而可使用不同的層的規劃。
根據一些實施例,在高介電常數介電層66的上方形成一黏著層(其亦是一擴散阻障層)70。黏著層70可以由以下形成或可包括Ti、TiN或氮化鈦矽(Titanium Silicon Nitride;TiSiN)。可以使用原子層沉積(ALD)或化學氣相沉積(CVD)來形成氮化鈦層,而氮化鈦矽層可包括交替沉積的氮化鈦層與氮化矽層,其形成是使用例如原子層沉積(ALD)。由於上述氮化鈦層與氮化矽層非常薄,這些層可能無法彼此分辨出來,因此將其稱為氮化鈦矽層。
功函數層72是形成在黏著層70的上方。功函數層72決定閘極的功函數,並包括至少一層或包括以不同材料形成的複數層。功函數層72的材料是根據對應的鰭式場效電晶體是否為一n型鰭式場效電晶體或一p型鰭式場效電晶體而選擇。當鰭式場效電晶體為一n型鰭式場效電晶體,功函數層72可包括TiC、TaC、TiAl、TiAlC、Ti、Al、Sc、Y、Er、La、Hf、上述之合金及/或上述之多層。鰭式場效電晶體為一p型鰭式場效電晶體,功函數層72可包括TiN、TaN、TiAlN、TiSiN、WCN、MOCN、Pt、Pd、Ni、Au、上述之合金及/或上述之多層。
根據本發明實施例的一些實施形態,如第9B圖所示,蓋層74是形成在功函數層72的上方。根據一些實施例,可以是以TiN來形成蓋層74,而可以使用其他材料,例如TaN。根據一些實施例,是使用原子層沉積(ALD)、化學氣相沉積(CVD)或類似方法來形成蓋層74。
如果蓋層74尚未完全填充對應的溝槽,則亦在蓋層74的上方形成填充金屬區76。根據一些實施例,蓋層74是以鎢、鈷、鋁或類似物或上述之合金形成,其形成可使用化學氣相沉積(CVD)、流動式化學氣相沉積(FCVD)、電漿輔助化學氣相沉積(PECVD)、高密度電漿化學氣相沉積(HDP-CVD)、鍍製(plating)或類似方法。根據填充金屬區76包括鎢的一些實施例,可以使用WF 6、WCl 5、WCl 6、SiH 4、H 2或類似物或上述之組合作為製程氣體而用於沉積鎢。在形成填充金屬區76之後,可以施行一平坦化製程以移除上述沉積層的多餘的部分,上述沉積層例如高介電常數介電層66、複數個堆疊層(黏著層70、功函數層72、蓋層74)及填充金屬區76。上述層的留下來的部分是替換閘極堆疊物80,如第9A與9B圖所示。
請參考第10圖,將替換閘極堆疊物80凹陷,形成複數個溝槽82。對應的製程是繪示為如第16圖所示的製程流程200中的製程220。其蝕刻製程可以是一濕式蝕刻製程、一乾式蝕刻製程、或是一濕式蝕刻製程與一乾式蝕刻製程。依存於替換閘極堆疊物80的結構與材料,當使用乾式蝕刻時,其蝕刻藥劑可選自BCl 3、Cl 2、CF 4、CH 4、CHF 3、CxHyFz (其中 x=1~6、y=0~9及z=0~12)、NF 3、HBr、CO、CO 2、COS、SO 2、SF 6、TiCl x、TaCl x、WCl x、O 2、Ar或類似物或上述之組合。當使用溼蝕刻時,其蝕刻溶液可包括磷酸、NH 4OH、NaHCO 3/H 2O 2的一混合物、NaHCO 3/NaOH/H 2O 2的一混合物、鹼金族的氫氧化物水溶液或類似物。同樣地,依存於所欲的頂表面輪廓,例如是否想要替換閘極堆疊物80具有平坦的頂表面、凹型的頂表面、凸型的頂表面、波狀的頂表面或類似輪廓,可以選擇上述蝕刻氣體(或是,濕式蝕刻的藥劑)的不同百分比。選擇上述蝕刻氣體(或是,濕式蝕刻的藥劑)的不同百分比,結果可得到一些層特別比其他層受到較多或較少的蝕刻,使得將替換閘極堆疊物80的頂表面調整為所欲的輪廓。
根據一些實施例,如第10圖所示,在進行凹陷當中,並未將閘極間隔物46凹陷。根據替代性的實施例,在進行凹陷當中,亦將閘極間隔物46凹陷,而所造成的閘極間隔物46的頂表面藉由虛線84顯示。當亦將閘極間隔物46蝕刻時,閘極間隔物46的頂表面低於層間介電質60的頂表面及接觸蝕刻停止層58的頂表面。當將閘極間隔物46凹陷時,閘極間隔物46所具有的頂表面可以高於、齊平於或低於替換閘極堆疊物80的頂表面。閘極間隔物46的頂表面水平的調整,亦藉由選擇蝕刻藥劑的適當的組合來達成。
根據一些實施例,在將替換閘極堆疊物80凹陷的期間,蝕刻高介電常數介電層66。將高介電常數介電層66凹陷可以得到較寬的溝槽82,而後續溝槽82的間隙填充會比較容易進行。根據替代性的實施例,則未蝕刻高介電常數介電層66。未蝕刻的高介電常數介電層66是使用虛線86來圖示。根據其他的替代性的實施例,將高介電常數介電層66蝕刻,而對高介電常數介電層66的蝕刻速率低於對閘極電極78的蝕刻速率。因此,凹陷後的高介電常數介電層66的頂表面可以在任何低於層間介電質60的頂表面及接觸蝕刻停止層58的頂表面、高於閘極電極78的頂表面的水平。
依存於上述蝕刻製程的條件及蝕刻藥劑(例如,蝕刻氣體的百分比),替換閘極堆疊物80的頂表面可以具有不同的輪廓,其中一些例示的輪廓由虛線88顯示。例如,虛線88A代表替換閘極堆疊物80的一凸型的頂表面,其中一替換閘極堆疊物80的頂表面的中間部分最高,而朝向替換閘極堆疊物80的邊緣,替換閘極堆疊物80的頂表面的高度則逐漸降低。虛線88B代表一波狀的頂表面,其中對於複數個堆疊層(黏著層70、功函數層72、蓋層74)的特定層的蝕刻量多於或少於其他層。例如,對於功函數層72的蝕刻量可以多(或是,少)於黏著層70與蓋層74。虛線88C代表替換閘極堆疊物80的一凹型的頂表面,其中一替換閘極堆疊物80的頂表面的中間部分最低,而朝向替換閘極堆疊物80的邊緣,替換閘極堆疊物80的頂表面的高度則逐漸且加快增高。亦要注意的是,由於陰影效應(shading effect),其中閘極間隔物46(及/或接觸蝕刻停止層58)的高牆在替換閘極堆疊物80的兩側,對於替換閘極堆疊物80的邊緣部分的遮蔽程度大於對於替換閘極堆疊物80的中間部分的遮蔽程度,對於替換閘極堆疊物80的邊緣部分的蝕刻量亦可能較少,而使對於替換閘極堆疊物80的中間部分的蝕刻快於對其邊緣部分的蝕刻,而造成凹型的頂表面。
請參考第11圖,在替換閘極堆疊物80的頂部上形成複數個導電蓋層90。對應的製程是繪示為如第16圖所示的製程流程200中的製程222。根據一些實施例,是以較能抵抗用於後續形成閘極接觸插塞的蝕刻藥劑的一材料來形成導電蓋層90。例如在形成複數個閘極接觸插塞116(第14A圖)當中,對閘極硬遮罩92進行蝕刻以形成複數個閘極接觸開口,而在上述蝕刻製程的期間,導電蓋層90可以保護替換閘極堆疊物80而避免其受到其蝕刻藥劑的損傷。同樣地,導電蓋層90可具有高電導,其可等於或高於閘極電極78中的至少一些層或所有層的電導值。根據一些實施例,是經由例如化學氣相沉積(CVD)、無電鍍或類似方法等的一選擇性沉積製程來形成導電蓋層90。例如,當使用化學氣相沉積(CVD)而沉積鎢作為導電蓋層90時,可使用例如WF 6、WCl 2、WCl 5、WCl 6、SiH 4、GeH 4、H 2或類似物或上述之組合等的製程氣體來用於沉積鎢。
根據一些實施例,例如當使用選擇性的鍍製(selective plating)來形成導電蓋層90時,則可能不會直接從高介電常數介電層66的暴露的頂表面成長導電蓋層90,而可能會在高介電常數介電層66的暴露的頂表面的正上方形成空孔(空氣間隙)91。
根據一些實施例,導電蓋層90是以以下形成或包括鎢(W)、WC、Ti、TiN、TaN、Sc、Y、Er、La、Hf、Al、Ti、Pt、Pd、Ni、Co、Ru、Au或類似物、上述之合金或上述之多層。根據一些實施例,每個導電蓋層90是以一均質的導電材料形成的一單一層。根據替代性的實施例,每個導電蓋層90是一複合層,其包括以不同材料形成的二或多個子層(sub layer)。例如,第11圖繪示一範例,其中每個導電蓋層90包括下層90A與上層90B,其是以具有不同性質的不同材料形成。根據一些實施例,與上層90B比較,下層90A可具有一較高的電導值;及/或與下層90A比較,上層90B較能抵抗上述蝕刻(如前文所述)。例如,下層90A可以是以以下形成或包括:Al、Ti、TiN、TaN或類似物,而上層90B可以是以以下形成或包括:W、WC、Pt或類似物。隨著下層90A具有較高的電導值,可以將閘極電阻(包括接觸電阻)降低得較多。隨著上層90B較能抵抗上述蝕刻,其對其下方的層提供較佳的保護而免於在後續製程受到損傷。
根據一些實施例,p型鰭式場效電晶體與n型鰭式場效電晶體都形成在同一個裝置晶粒且在相同的半導體基底上。上述p型鰭式場效電晶體與上述n型鰭式場效電晶體亦根據本發明實施例的一些實施形態而形成。每個上述p型鰭式場效電晶體與上述n型鰭式場效電晶體可具有在第14A至14E圖的任何結構。如前文所述,上述p型鰭式場效電晶體的替換閘極堆疊物80可能與上述n型鰭式場效電晶體的替換閘極堆疊物80不同。例如,以不同的材料來形成上述p型鰭式場效電晶體的功函數層72與上述n型鰭式場效電晶體的功函數層72。與上述p型鰭式場效電晶體的功函數層72比較,上述n型鰭式場效電晶體的功函數層72可具有較低的功函數。根據一些實施例,上述p型鰭式場效電晶體與上述n型鰭式場效電晶體的導電蓋層90是以一相同的材料形成,其可以以一相同的形成製程形成或是可以以不同的形成製程形成。根據替代性的實施例,上述p型鰭式場效電晶體與上述n型鰭式場效電晶體的導電蓋層90是經由分開的形成製程而以不同的材料形成。例如,上述p型鰭式場效電晶體的導電蓋層90可以以具有一較高的功函數(其可以是大於約4.9 eV的一p型功函數)的一材料形成,而上述n型鰭式場效電晶體的導電蓋層90可以以具有一較低的功函數(其可以是低於約4.5 eV的一n型功函數)的一材料形成。例如,上述p型鰭式場效電晶體的導電蓋層90可以是以以下或包括高功函數材料形成,例如Pt、Pd、Ni、Au或類似物或上述之合金,而上述n型鰭式場效電晶體的導電蓋層90可以是以以下或包括低功函數材料形成,例如W、La、Hf、Al、Ti或類似物或上述之合金。根據替代性的實施例,其中上述n型鰭式場效電晶體與上述p型鰭式場效電晶體的導電蓋層90為多層,上述p型鰭式場效電晶體與上述n型鰭式場效電晶體的下層90A是經由分開的形成製程而以不同的材料形成。例如,上述n型鰭式場效電晶體的下層90A可以是以如前文敘述的一低功函數材料形成,而上述p型鰭式場效電晶體的下層90A可以是以如前文敘述的一高功函數材料形成。另一方面,上述n型鰭式場效電晶體與上述p型鰭式場效電晶體的上層90B可以以相同的材料且例如經由一共通的沉積製程形成,其與下方層的下層90A比較,形成上述n型鰭式場效電晶體與上述p型鰭式場效電晶體的上層90B的相同材料較能抵抗蝕刻。
在一後續的製程,如第12A與12B圖所示,在導電蓋層90的上方形成複數個硬遮罩92。對應的製程是繪示為如第16圖所示的製程流程200中的製程224。第12A與12B圖分別繪示一剖面圖與一透視圖。根據本發明實施例的一些實施形態,硬遮罩92的形成包括:一沉積製程以形成一毯覆式(blanket)的介電材料;以及一平坦化製程以移除在閘極間隔物46的上方及層間介電層60的上方的多餘的介電材料。硬遮罩92可以以例如氮化矽、氮氧化矽、氮碳化矽或其他類似的介電材料形成。
第13A與13B圖繪示複數個下源極/汲極接觸插塞94及複數個矽化物區96的形成。對應的製程是繪示為如第16圖所示的製程流程200中的製程226。根據本發明實施例的一些實施形態,上述形成製程包括:蝕刻層間介電層60與接觸蝕刻停止層58,以形成複數個源極/汲極接觸開口;沉積一金屬層(例如,一鈦層、一鈷層或類似層),此金屬層延伸至上述源極/汲極接觸開口中;沉積一阻障層(例如,氮化鈦層);以及施行一退火製程,而使上述金屬層的底部與磊晶區54(源極/汲極區)反應,以形成矽化物區96。可以將上述阻障層及上述金屬層之留下來的側壁部分移除或留著不移除。當移除上述阻障層,可以沉積另一個阻障層,例如氮化鈦層。餘留未填充的上述源極/汲極接觸開口可以以一金屬材料填充,上述金屬材料例如為鈷、鎢、其他可應用的金屬或上述之合金。施行例如一化學機械研磨製程或一機械研削製程等的一平坦化製程,以移除多於的材料來形成下源極/汲極接觸插塞94。
第14A圖繪示形成蝕刻停止層(Etch Stop Layer;ESL)97以及在蝕刻停止層97的上方形成介電層98(其亦可以是一層間介電層)。對應於第14A圖所繪示,第15圖繪示在平行於一閘極長度方向的一平面中的剖面圖。對應的製程是繪示為如第16圖所示的製程流程200中的製程228。蝕刻停止層97可以是以以下形成或包括:氮化矽、氮碳化矽、氧碳化矽、氮化碳、氧化鋁、氮化鋁、類似物或上述之多層。介電層98可以是以以下形成或包括:二氧化矽、一低介電常數材料、氮氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼磷矽酸鹽玻璃(BPSG)、非摻雜的矽酸鹽玻璃(USG)、氟矽酸鹽玻璃(FSG)、有機矽烷玻璃(OSG)、SiOC、一旋塗玻璃(spin-on glass)、一旋塗聚合物(spin-on polymer)或類似物。可藉由使用旋轉塗布法、化學氣相沉積(CVD)、原子層沉積(ALD)、低壓化學氣相沉積(LPCVD)、電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)或類似方法來沉積蝕刻停止層97與介電層98。
然後,形成複數個閘極接觸插塞116與複數個上源極/汲極接觸插塞118。對應的製程是繪示為如第16圖所示的製程流程200中的製程230。上述形成製程可包括:蝕刻介電層98與蝕刻停止層97以形成複數個開口,直到顯現出導電蓋層90與下源極/汲極接觸插塞94;填入一或多種導電材料以填充上述開口;以及施行一平坦化製程以移除上述導電材料的多餘部分。上述蝕刻製程可以為非等向性。例如,依存於硬遮罩92的材料,其蝕刻氣體可以包括:HF、NH 3、含氟氣體(例如: CF 4、O 2及 N 2的混合物;NF 3與 O 2的混合物、SF 6、SF 6and O 2的混合物、或是 BCl 3、Cl 2、CF 4、CH 4、CHF 3、CxHyFz (其中x=1~6、y=0~9及z=0~12)、NF 3、HBr、CO、CO 2、COS、SO 2、SF 6、TiCl x、TaCl x、WCl x、O 2、Ar及/或類似物。在形成上述開口當中,可以選擇上述蝕刻製程,以對導電蓋層90具有低蝕刻速率,而使導電蓋層90未被蝕刻。根據一些實施例,若閘極電極78暴露於上述蝕刻氣體,上述蝕刻氣體有能力蝕刻閘極電極78。換言之,閘極電極78的蝕刻速率(若暴露於上述蝕刻氣體)將會高於導電蓋層90的蝕刻速率。另外,根據導電蓋層90為多層的一些實施例,導電蓋層90中的下層90A的蝕刻速率將會高於導電蓋層90中的上層90B的蝕刻速率。根據本發明實施例的一些實施形態,然而由於導電蓋層90的保護,閘極電極78受到保護而免於受損。因此,形成鰭式場效電晶體120。
第14A圖繪示一實施例,其中導電蓋層90是平坦且共形,並具有一均勻的厚度(在製程變動的範圍內)。第14B、14C、14D與14E圖繪示具有不同輪廓的導電蓋層90。例如,在第14B圖中,替換閘極堆疊物80的頂表面具有一凹型輪廓。在第14C圖中,替換閘極堆疊物80的頂表面具有一凸型輪廓。在第14D圖中,替換閘極堆疊物80的頂表面具有一波狀輪廓。例如,一替換閘極堆疊物80的頂表面的最低點(或是,最高點)可以是功函數層72的頂表面的一個點。在第14E圖中,導電蓋層90具有一不均勻的厚度。儘管第14E圖繪示導電蓋層90的中間部分比邊緣部分還厚,但是導電蓋層90的中間部分亦可以比邊緣部分還薄。要瞭解的是,在應用時,在第14A、14B、14C、14D與14E圖的多個部件與輪廓可能共存於相同結構。例如,空孔(空氣間隙)91可以形成在每個這些結構,或是可以不形成在每個這些結構。同樣地,如第14E圖所示的具有不均勻的厚度的導電蓋層90可以與替換閘極堆疊物80的凹型、凸型或波狀的頂表面組合。
本發明實施例的實施形態具有一些有益的部件。藉由形成較能抵抗蝕刻的導電蓋層,避免在閘極接觸插塞的形成當中使閘極堆疊物受損。同樣地,藉由形成應用具有高電導的一或多種材料的導電蓋層,可以減少接觸電阻。
根據本發明實施例的一些實施形態,一種方法包括:移除一虛設閘極堆疊物,以在複數個第一閘極間隔物之間形成一第一溝槽;在上述第一溝槽形成一第一替換閘極堆疊物;將上述第一替換閘極堆疊物凹陷,以在上述第一閘極間隔物之間形成一第二溝槽;在上述第二溝槽選擇性地沉積一第一導電蓋層;在上述第二溝槽中及在上述第一導電蓋層的上方形成一第一介電硬遮罩;使用一蝕刻氣體來蝕刻上述介電硬遮罩,以在上述介電硬遮罩形成一開口,其中上述開口顯露出上述第一替換閘極堆疊物;以及在上述第一導電蓋層的上方形成一閘極接觸插塞,上述閘極接觸插塞接觸上述第一導電蓋層。
在一實施例中,在上述蝕刻中,將上述第一替換閘極堆疊物中的一閘極介電質凹陷,而上述第一閘極間隔物的側壁暴露於上述第二溝槽。在一實施例中,沉積上述第一導電蓋層包括:在上述第一替換閘極堆疊物上沉積一第一子層;以及在上述第一子層的上方沉積一第二子層,其中與上述第二子層比較,上述第一子層具有較高的電導值。在一實施例中,上述方法更包括:將一第二替換閘極堆疊物凹陷,以在複數個第二閘極間隔物之間形成一第三溝槽;以及在上述第三溝槽中及在上述第二替換閘極堆疊物的上方選擇性地沉積一第二導電蓋層,其中上述第二導電蓋層具有與上述第一導電蓋層不同的材料。在一實施例中,上述第一替換閘極堆疊物包含於一p型電晶體,上述第二替換閘極堆疊物包含於一n型電晶體,與上述第二導電蓋層比較,上述第一導電蓋層具有較高的功函數。在一實施例中,與上述第一替換閘極堆疊物比較,上述第一導電蓋層較能抵抗上述蝕刻氣體。在一實施例中,在上述凹陷之後,上述第一替換閘極堆疊物具有一凸型頂表面或一凹型頂表面。在一實施例中,經由化學氣相沉積而選擇性沉積上述第一導電蓋層。在一實施例中,經由鍍製(plating)而選擇性沉積上述第一導電蓋層。
根據本發明實施例的一些實施形態,一種裝置包括:一半導體區;複數個閘極間隔物,在上述半導體區上;一閘極堆疊物,在上述半導體區的上方且在上述閘極間隔物之間,其中上述閘極堆疊物包括複數層;一導電蓋層在上述閘極堆疊物的上述層的上方並接觸上述閘極堆疊物的上述層;一介電硬遮罩,在上述導電蓋層的上方且在上述閘極間隔物之間;以及一閘極接觸插塞,穿過上述介電硬遮罩而落在上述導電蓋層上。
在一實施例中,上述閘極堆疊物包括一閘極介電質與一閘極電極,其中上述閘極介電質在上述閘極電極的下方及在上述閘極電極的側壁上延伸,其中上述導電蓋層包括重疊上述閘極介電質的一部分。在一實施例中,藉由一空氣間隙將上述導電蓋層與上述閘極介電質分離。在一實施例中,上述導電蓋層包括鎢。在一實施例中,上述導電蓋層包括:一第一子層,接觸上述閘極堆疊物;以及一第二子層,在上述第一子層的上方,其中與上述第二子層比較,上述第一子層具有較高的電導值。在一實施例中,上述閘極堆疊物包括一凹型頂表面。在一實施例中,上述閘極堆疊物包括一凸型頂表面。
根據本發明實施例的一些實施形態,一種裝置包括: 一源極區與一汲極區;一閘極堆疊物,在上述源極區與上述汲極區之間,其中上述閘極堆疊物包括複數層,每個上述層具有一盆形(basin shape)而包括一底部及複數個側壁部,上述側壁部在上述底部的上方並與上述底部結合;複數個閘極間隔物,在上述閘極堆疊物的兩側壁上;以及一導電層,在上述層的上述側壁部的頂表面的上方並接觸上述層的上述側壁部的頂表面。
在一實施例中,上述導電層在上述閘極間隔物之間。在一實施例中,上述導電層包括複數個子層,上述子層包括不同材料。在一實施例中,上述導電層的上述子層包括一第一子層與一第二子層,其中與上述第二子層比較,上述第一子層具有較高的電導值。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
10:晶圓 20:基底 22:井區 24:淺溝槽隔離區(隔離區) 24BS:底表面 24TS:頂表面 26:半導體條 28:墊氧化物層 30:硬遮罩層 36:鰭狀物 38:虛設閘極堆疊物 40:虛設閘極介電質 42:虛設閘極電極 44:硬遮罩層 46:閘極間隔物 50:凹部 54:磊晶區 56:空孔(空氣間隙) 58:接觸蝕刻停止層 60:層間介電質 62:溝槽 64:界面層 66:高介電常數介電層 68:閘極介電質 70:黏著層 72:功函數層 74:蓋層 76:填充金屬區 78:閘極電極 80:替換閘極堆疊物 82:溝槽 84,86,88,88A,88B,88C:虛線 90:導電蓋層 90A:下層 90B:上層 91:空孔(空氣間隙) 92:閘極硬遮罩 94:下源極/汲極接觸插塞 96:矽化物區 97:蝕刻停止層 98:介電層 116:閘極接觸插塞 118:上源極/汲極接觸插塞 120:鰭式場效電晶體 200:製程流程 202,204,206,208,210,212,214:製程 216,218,220,222,224,226,228,230:製程
藉由以下的詳述配合所附圖式可更加理解本文揭露的內容。要強調的是,根據產業上的標準作業,各個部件(feature)並未按照比例繪製,且僅用於說明目的。事實上,為了能清楚地討論,可能任意地放大或縮小各個部件的尺寸。 第1圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第2圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第3圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第4圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第5圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第6圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第7A圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第7B圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的剖面圖。 第8圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的剖面圖。 第9A圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第9B圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的剖面圖。 第10圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的剖面圖。 第11圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的剖面圖。 第12A圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的剖面圖。 第12B圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第13A圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的剖面圖。 第13B圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的透視圖。 第14A圖是根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體中的中間階段的剖面圖。 第14B圖是繪示根據一些實施例之具有導電蓋層的金屬閘極。 第14C圖是繪示根據一些實施例之具有導電蓋層的金屬閘極。 第14D圖是繪示根據一些實施例之具有導電蓋層的金屬閘極。 第14E圖是繪示根據一些實施例之具有導電蓋層的金屬閘極。 第15圖是繪示根據一些實施例之在平行於一閘極長度方向的一平面中的一金屬閘極的剖面圖。 第16圖是繪示一流程圖,用以根據一些實施例而形成具有導電蓋層在金屬閘極上的電晶體。
10:晶圓
20:基底
22:井區
24BS:底表面
24TS:頂表面
36:鰭狀物
46:閘極間隔物
54:磊晶區
58:接觸蝕刻停止層
60:層間介電質
64:界面層
66:高介電常數介電層
68:閘極介電質
70:黏著層
72:功函數層
74:蓋層
76:填充金屬區
78:閘極電極
80:替換閘極堆疊物
84,86:虛線
90:導電蓋層
90A:下層
90B:上層
91:空孔(空氣間隙)
92:閘極硬遮罩
94:下源極/汲極接觸插塞
96:矽化物區
97:蝕刻停止層
98:介電層
116:閘極接觸插塞
118:上源極/汲極接觸插塞
120:鰭式場效電晶體

Claims (15)

  1. 一種半導體裝置的形成方法,包括:移除一虛設閘極堆疊物,以在複數個第一閘極間隔物之間形成一第一溝槽;在該第一溝槽形成一第一替換閘極堆疊物;將該第一替換閘極堆疊物凹陷,以在該些第一閘極間隔物之間形成一第二溝槽;在該第二溝槽選擇性地沉積一第一導電蓋層;在該第二溝槽中及在該第一導電蓋層的上方形成一第一介電硬遮罩;使用一蝕刻氣體來蝕刻該介電硬遮罩,以在該介電硬遮罩形成一開口,其中該開口顯露出該第一替換閘極堆疊物;以及在該第一導電蓋層的上方形成一閘極接觸插塞,該閘極接觸插塞接觸該第一導電蓋層。
  2. 如請求項1之半導體裝置的形成方法,其中在該蝕刻中,將該第一替換閘極堆疊物中的一閘極介電質凹陷,而該些第一閘極間隔物的側壁暴露於該第二溝槽。
  3. 如請求項1或2之半導體裝置的形成方法,其中沉積該第一導電蓋層包括:在該第一替換閘極堆疊物上沉積一第一子層;以及在該第一子層的上方沉積一第二子層,其中與該第二子層比較,該第一子層具有較高的電導值。
  4. 如請求項1或2之半導體裝置的形成方法,更包括:將一第二替換閘極堆疊物凹陷,以在複數個第二閘極間隔物之間形成一第三 溝槽;以及在該第三溝槽中及在該第二替換閘極堆疊物的上方選擇性地沉積一第二導電蓋層,其中該第二導電蓋層具有與該第一導電蓋層不同的材料。
  5. 如請求項4之半導體裝置的形成方法,其中該第一替換閘極堆疊物包含於一p型電晶體,該第二替換閘極堆疊物包含於一n型電晶體,與該第二導電蓋層比較,該第一導電蓋層具有較高的功函數。
  6. 如請求項1或2之半導體裝置的形成方法,其中與該第一替換閘極堆疊物比較,該第一導電蓋層較能抵抗該蝕刻氣體。
  7. 一種半導體裝置,包括:一半導體區;複數個閘極間隔物,在該半導體區上;一閘極堆疊物,在該半導體區的上方且在該些閘極間隔物之間,其中該閘極堆疊物包括複數層;一導電蓋層在該閘極堆疊物的該些層的上方並接觸該閘極堆疊物的該些層;一介電硬遮罩,在該導電蓋層的上方且在該些閘極間隔物之間;以及一閘極接觸插塞,穿過該介電硬遮罩而落在該導電蓋層上。
  8. 如請求項7之半導體裝置,其中該閘極堆疊物包括一閘極介電質與一閘極電極,其中該閘極介電質在該閘極電極的下方及在該閘極電極的側壁上延伸,其中該導電蓋層包括重疊該閘極介電質的一部分。
  9. 如請求項8之半導體裝置,其中藉由一空氣間隙將該導電蓋層與該閘極介電質分離。
  10. 如請求項7之半導體裝置,其中該導電蓋層包括鎢。
  11. 如請求項7至10任一項之半導體裝置,其中該導電蓋層包括:一第一子層,接觸該閘極堆疊物;以及一第二子層,在該第一子層的上方,其中與該第二子層比較,該第一子層具有較高的電導值。
  12. 如請求項7至10任一項之半導體裝置,其中該閘極堆疊物包括一凹型頂表面或一凸型頂表面。
  13. 一種半導體裝置,包括:一層間介電質,包括一第一頂表面;一源極區與一汲極區,低於該層間介電質;一閘極堆疊物,在該源極區與該汲極區之間,其中該閘極堆疊物在該層間介電質中,其中該閘極堆疊物包括複數層,每個該些層具有一盆形(basin shape)而包括一底部及複數個側壁部,該些側壁部在該底部的上方並與該底部結合;複數個閘極間隔物,在該閘極堆疊物的兩側壁上;以及一導電層,在該些層的該些側壁部的頂表面的上方並接觸該些層的該些側壁部的頂表面,其中該導電層的一第二頂表面低於該層間介電質的該第一頂表面。
  14. 如請求項13之半導體裝置,其中該導電層包括複數個子層,該些子層包括不同材料。
  15. 如請求項14之半導體裝置,其中該導電層的該些子層包括一第一子層與一第二子層,其中與該第二子層比較,該第一子層具有較高的電導值。
TW111102555A 2021-03-26 2022-01-21 半導體裝置及其形成方法 TWI818420B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163166311P 2021-03-26 2021-03-26
US63/166,311 2021-03-26
US17/340,818 US20220310814A1 (en) 2021-03-26 2021-06-07 Conductive Capping For Work Function Layer and Method Forming Same
US17/340,818 2021-06-07

Publications (2)

Publication Number Publication Date
TW202238693A TW202238693A (zh) 2022-10-01
TWI818420B true TWI818420B (zh) 2023-10-11

Family

ID=82527693

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111102555A TWI818420B (zh) 2021-03-26 2022-01-21 半導體裝置及其形成方法

Country Status (5)

Country Link
US (1) US20220310814A1 (zh)
KR (1) KR20220134418A (zh)
CN (1) CN114823517A (zh)
DE (1) DE102021115949B4 (zh)
TW (1) TWI818420B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3621116B1 (en) * 2018-09-06 2022-11-02 Infineon Technologies Austria AG Semiconductor device and manufacturing method thereof

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200901475A (en) * 2007-03-13 2009-01-01 Ibm Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
US20170040318A1 (en) * 2015-08-03 2017-02-09 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US20200027842A1 (en) * 2018-07-17 2020-01-23 Samsung Electronics Co., Ltd. Maintaining height of alignment key in semiconductor devices
US20200035796A1 (en) * 2018-07-26 2020-01-30 Samsung Electronics Co., Ltd. Integrated circuit device
US10825721B2 (en) * 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
CN108735660B (zh) * 2017-04-20 2020-12-01 台湾积体电路制造股份有限公司 形成具有减少的腐蚀的接触插塞的方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9722038B2 (en) 2015-09-11 2017-08-01 International Business Machines Corporation Metal cap protection layer for gate and contact metallization
KR102451417B1 (ko) * 2018-04-26 2022-10-06 삼성전자주식회사 반도체 장치
US11444171B2 (en) * 2019-03-06 2022-09-13 Intel Corporation Self-aligned gate endcap (SAGE) architecture having gate or contact plugs
US20200411639A1 (en) * 2019-06-27 2020-12-31 Intel Corporation Devices with air gapping between stacked transistors and process for providing such
KR20210026808A (ko) * 2019-09-02 2021-03-10 에스케이하이닉스 주식회사 매립 게이트 구조를 구비한 반도체 장치 및 그 제조 방법
US11239114B2 (en) 2019-09-16 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced contact resistance and methods of forming the same
KR20220028703A (ko) * 2020-08-31 2022-03-08 삼성전자주식회사 반도체 장치
CN114639732A (zh) * 2020-12-15 2022-06-17 联华电子股份有限公司 半导体元件及其制作方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200901475A (en) * 2007-03-13 2009-01-01 Ibm Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
US20170040318A1 (en) * 2015-08-03 2017-02-09 United Microelectronics Corp. Semiconductor device and method for fabricating the same
CN108735660B (zh) * 2017-04-20 2020-12-01 台湾积体电路制造股份有限公司 形成具有减少的腐蚀的接触插塞的方法
US20200027842A1 (en) * 2018-07-17 2020-01-23 Samsung Electronics Co., Ltd. Maintaining height of alignment key in semiconductor devices
US20200035796A1 (en) * 2018-07-26 2020-01-30 Samsung Electronics Co., Ltd. Integrated circuit device
US10825721B2 (en) * 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same

Also Published As

Publication number Publication date
US20220310814A1 (en) 2022-09-29
TW202238693A (zh) 2022-10-01
DE102021115949A1 (de) 2022-09-29
KR20220134418A (ko) 2022-10-05
DE102021115949B4 (de) 2024-05-16
CN114823517A (zh) 2022-07-29

Similar Documents

Publication Publication Date Title
TWI677019B (zh) 半導體裝置及其製造方法
CN109841679B (zh) 半导体结构切割方法以及由此形成的结构
KR102209949B1 (ko) 반도체 구조물 커팅 프로세스 및 그로 인하여 형성된 구조물
TWI755106B (zh) 半導體結構及其形成方法
US10867842B2 (en) Method for shrinking openings in forming integrated circuits
KR20190063419A (ko) 반도체 구조물 컷팅 프로세스 및 그에 의해 형성된 구조물
TW202021044A (zh) 半導體裝置及其製造方法
TWI777224B (zh) 半導體裝置及其形成方法
TWI824500B (zh) 半導體結構及其形成方法
TWI818420B (zh) 半導體裝置及其形成方法
TW201916120A (zh) 鰭式場效電晶體裝置的製造方法
TW202117818A (zh) 半導體裝置
TWI802315B (zh) 半導體裝置的形成方法
TWI763092B (zh) 半導體裝置及其形成方法
KR102224831B1 (ko) 반도체 FinFET 디바이스 및 방법
TWI820735B (zh) 半導體裝置及其製造方法
US11476347B2 (en) Processes for removing spikes from gates
KR102473596B1 (ko) 반도체 FinFET 디바이스 및 방법
TWI807325B (zh) 半導體裝置及其形成方法
US20220359709A1 (en) Processes for Removing Spikes from Gates
TW202349712A (zh) 半導體裝置結構及其形成方法
TW202117860A (zh) 半導體裝置及其形成方法
TW202331934A (zh) 半導體裝置結構之形成方法
KR20230069785A (ko) 소스/드레인 콘택 형성에서의 듀얼 다마신 구조물
TW202121548A (zh) 半導體裝置及其形成方法