TW202322398A - 半導體結構及其製造方法 - Google Patents
半導體結構及其製造方法 Download PDFInfo
- Publication number
- TW202322398A TW202322398A TW111136616A TW111136616A TW202322398A TW 202322398 A TW202322398 A TW 202322398A TW 111136616 A TW111136616 A TW 111136616A TW 111136616 A TW111136616 A TW 111136616A TW 202322398 A TW202322398 A TW 202322398A
- Authority
- TW
- Taiwan
- Prior art keywords
- fin
- source
- region
- feature
- drain
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 131
- 239000004065 semiconductor Substances 0.000 title claims abstract description 51
- 238000002955 isolation Methods 0.000 claims abstract description 75
- 239000000758 substrate Substances 0.000 claims abstract description 31
- 125000006850 spacer group Chemical group 0.000 claims description 52
- 239000002019 doping agent Substances 0.000 claims description 29
- 238000005530 etching Methods 0.000 claims description 29
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 27
- 229910052710 silicon Inorganic materials 0.000 claims description 27
- 239000010703 silicon Substances 0.000 claims description 27
- 239000000203 mixture Substances 0.000 claims description 19
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 14
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 12
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 11
- 238000004519 manufacturing process Methods 0.000 claims description 11
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 11
- 238000000151 deposition Methods 0.000 claims description 10
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 9
- 230000000630 rising effect Effects 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 209
- 230000008569 process Effects 0.000 description 90
- 239000007789 gas Substances 0.000 description 22
- 229920002120 photoresistant polymer Polymers 0.000 description 22
- 238000005229 chemical vapour deposition Methods 0.000 description 18
- 238000004140 cleaning Methods 0.000 description 16
- 238000001312 dry etching Methods 0.000 description 12
- 239000000463 material Substances 0.000 description 11
- 230000007704 transition Effects 0.000 description 10
- MCMNRKCIXSYSNV-UHFFFAOYSA-N ZrO2 Inorganic materials O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 9
- 229910052751 metal Inorganic materials 0.000 description 9
- 239000002184 metal Substances 0.000 description 9
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 8
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 8
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 8
- DIKBFYAXUHHXCS-UHFFFAOYSA-N bromoform Chemical compound BrC(Br)Br DIKBFYAXUHHXCS-UHFFFAOYSA-N 0.000 description 8
- 239000003989 dielectric material Substances 0.000 description 8
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 8
- 239000011229 interlayer Substances 0.000 description 8
- 238000000059 patterning Methods 0.000 description 8
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 229910052796 boron Inorganic materials 0.000 description 7
- 238000012937 correction Methods 0.000 description 7
- 238000013461 design Methods 0.000 description 7
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 6
- 239000000460 chlorine Substances 0.000 description 6
- 229910052801 chlorine Inorganic materials 0.000 description 6
- 230000003287 optical effect Effects 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- 238000004528 spin coating Methods 0.000 description 5
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 4
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 4
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 4
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 4
- 229910018503 SF6 Inorganic materials 0.000 description 4
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 4
- 229910052794 bromium Inorganic materials 0.000 description 4
- 229950005228 bromoform Drugs 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 4
- 229910052740 iodine Inorganic materials 0.000 description 4
- 239000011630 iodine Substances 0.000 description 4
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 4
- 238000012986 modification Methods 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 150000002978 peroxides Chemical class 0.000 description 4
- 229910052698 phosphorus Inorganic materials 0.000 description 4
- 239000011574 phosphorus Substances 0.000 description 4
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 4
- 229960000909 sulfur hexafluoride Drugs 0.000 description 4
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 4
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 4
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 4
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 4
- 238000000927 vapour-phase epitaxy Methods 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 238000001451 molecular beam epitaxy Methods 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229920001709 polysilazane Polymers 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- NDZITSUBFAYISV-UHFFFAOYSA-N C(Cl)(Cl)(Cl)Cl.C(F)(F)(F)F Chemical compound C(Cl)(Cl)(Cl)Cl.C(F)(F)(F)F NDZITSUBFAYISV-UHFFFAOYSA-N 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- 229910052454 barium strontium titanate Inorganic materials 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 239000008367 deionised water Substances 0.000 description 2
- 229910021641 deionized water Inorganic materials 0.000 description 2
- 238000001035 drying Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000000407 epitaxy Methods 0.000 description 2
- 238000011066 ex-situ storage Methods 0.000 description 2
- 230000009969 flowable effect Effects 0.000 description 2
- 239000005350 fused silica glass Substances 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000012705 liquid precursor Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 239000011572 manganese Substances 0.000 description 2
- 239000002135 nanosheet Substances 0.000 description 2
- 239000002070 nanowire Substances 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 239000011347 resin Substances 0.000 description 2
- 229920005989 resin Polymers 0.000 description 2
- 229920005573 silicon-containing polymer Polymers 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 229910019311 (Ba,Sr)TiO Inorganic materials 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- OBZUDFAHIZFVHI-UHFFFAOYSA-N [La].[Si]=O Chemical compound [La].[Si]=O OBZUDFAHIZFVHI-UHFFFAOYSA-N 0.000 description 1
- DBOSVWZVMLOAEU-UHFFFAOYSA-N [O-2].[Hf+4].[La+3] Chemical compound [O-2].[Hf+4].[La+3] DBOSVWZVMLOAEU-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- -1 aluminum silicon oxide Chemical compound 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 1
- 229910002113 barium titanate Inorganic materials 0.000 description 1
- JRPBQTZRNDNNOP-UHFFFAOYSA-N barium titanate Chemical compound [Ba+2].[Ba+2].[O-][Ti]([O-])([O-])[O-] JRPBQTZRNDNNOP-UHFFFAOYSA-N 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000005566 electron beam evaporation Methods 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- KUVFGOLWQIXGBP-UHFFFAOYSA-N hafnium(4+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Hf+4] KUVFGOLWQIXGBP-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- WUAPFZMCVAUBPE-UHFFFAOYSA-N rhenium atom Chemical compound [Re] WUAPFZMCVAUBPE-UHFFFAOYSA-N 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- XRFHCHCLSRSSPQ-UHFFFAOYSA-N strontium;oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Sr+2] XRFHCHCLSRSSPQ-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium(II) oxide Chemical compound [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000009966 trimming Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823878—Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823864—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/16—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
- H01L29/161—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
- H01L29/165—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7842—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
- H01L29/7848—Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
提供半導體結構及其製造方法。根據本揭露的半導體結構包含包括第一區域及與第一區域相鄰的第二區域的基材、設置在第一區域上的第一鰭片、設置在第二區域上的第二鰭片、設置在第一鰭片上的第一源極/汲極特徵和設置在第二鰭片上的第二源極/汲極特徵以及設置在第一鰭片與第二鰭片之間的隔離結構。隔離結構具有上升到隔離結構之其餘部分上的突出特徵,且突出特徵係設置在第一鰭片與第二鰭片之間。
Description
半導體積體電路(Integrated Circuit,IC)產業已經歷指數成長。IC材料和設計的技術進步已生產出許多世代的IC,且每一世代都比前一代具有較小和更複雜的電路。在IC進化的過程中,功能密度(即每個晶片面積中內連接裝置的數目)普遍隨著幾何尺寸[即利用一次製程所能創造最小的組件(或線)]的減小而增加。尺度縮小製程一般提供增加生產效率和減少相關成本的效益。前述尺度縮小亦增加製程及製造積體電路的複雜性。
舉例而言,積體電路技術朝較小技術節點進步,多閘極裝置已藉由增加閘極-通道連接、減少截止狀態電流(off-state current)及減少短通道效應(short-channel effects,SCEs)來改善閘極控制。多閘極裝置一般係指具有閘極結構或其部分設置在通道區域之一側以上的裝置。鰭式場效電晶體(fin-like field effectr transistors,FinFETs)及環繞式閘極(gate-all-around,GAA)電晶體係多閘極裝置的具體例,多閘極裝置由於高效能及低漏電的應用性而成為大眾化且有希望的候選。鰭式場效電晶體具有被閘極包圍一側以上的高通道(舉例而言,閘極包圍自基材延伸之半導體材料的「鰭片」之頂部及側壁)。相較於平面電晶體,此配置提供通道更好的控制並大幅地減少短通道效應[特別地,藉由減少次閾值漏電流(即在「關閉」狀態下之鰭式場效電晶體的源極及汲極之間的連接)]。環繞式閘極電晶體具有部分或完全延伸包圍通道區域的閘極結構,以在二側或多側上提供通道區域的入口。環繞式閘極電晶體的通道區域可由奈米線、奈米片、其他奈米結構及/或其他合適的結構來形成。通道區域的形狀亦給予環繞式閘極電晶體名稱,例如奈米線電晶體或奈米片電晶體。在一些例示中,環繞式閘極電晶體亦可稱為多橋通道(multi-bridge channel,MBC)電晶體。
不同導電類型的多閘極裝置可在半導體裝置內並排設置。為了改善效能,不同導電類型的多閘極裝置可包含分開形成之不同的源極/汲極特徵。在一些狀況下,先形成的源極/汲極特徵可能在後形成之源極/汲極特徵被形成時被破壞。因此,雖然習知多閘極電晶體的製造方法對於其主要目標已足夠,但並不能在所有面向上令人滿意。
以下揭露提供許多不同實施例或例示,以實施提供之主體的不同特徵。以下敘述之組件和配置方式的特定例示是為了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,第一特徵形成在第二特徵之上或上方的描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。除此之外,本揭露在各種具體例中重覆元件符號及/或字母。此重覆的目的是為了使說明簡化且清晰,並不表示各種討論的實施例及/或配置之間有關係。
再者,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的零件或特徵和其他零件或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含元件在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本揭露所用的空間相對性描述也可以如此解讀。
再者,當數值或數值範圍係以「約(about)」、「近乎 (approximately)」等來說明時,此用語係代表包含的數值係在本領域中具有通常知識者所理解之製程過程中固有的可能變化下的合理範圍內。舉例而言,數值或數值範圍包含的合理範圍係包含所述之數值,例如在+/-10%以內,基於與製造具有相關數值之特性的特徵之已知製程的耐受性。舉例而言,具有厚度為「約5 nm」的材料層可包含之尺寸範圍為4.25 nm至5.75 nm,其中與沉積材料層相關的製程耐受度對本領域的通常知者為+/-15%。甚者,本揭露在各種具體例中重複元件符號及/或字母。此重複的目的係為了使說明簡化且清晰,並不表示各種討論的實施例及/或配置之間的關係。
本揭露大致是關於多閘極電晶體的形成,且更特別是在多閘極電晶體內之不同源極/汲極特徵的形成。半導體裝置的設計可包含設置於p型多閘極電晶體旁的n型多閘極電晶體。舉例而言,靜態隨機存取記憶體(static random access memory,SRAM)元件包含設置於p型電晶體旁的n型電晶體。為了改善各別的裝置效能,不同的源極/汲極特徵可在n型多閘極電晶體及p型多閘極電晶體中實施。由於其組成及摻質類型的不同,n型源極/汲極特徵及p型源極/汲極特徵係分開形成。舉例而言,可在p型源極/汲極區域被覆蓋時,形成n型源極/汲極特徵。在n型源極/汲極特徵形成之後,p型源極特徵係形成在p型源極/汲極區域上,而n型源極/汲極區域係被圖案化硬罩幕所保護。在一些習知技術中,二個圖案化罩幕係設計以沿著n型主動區及相鄰的p型主動區之間的中心線終止。當蝕刻製程實質上非異向性,圖案化硬罩幕可具有保齡球狀輪廓,其傾向破壞並暴露出先形成的n型源極/汲極特徵之一部分。p型源極/汲極特徵之一部可沉積在n型源極/汲極特徵之暴露部分上,造成短路或漏電流。
本揭露提供方法,以改善n型源極/汲極特徵及p型源極/汲極特徵形成時之硬罩幕的圖案化。此些方法提供具有較筆直輪廓的圖案化硬罩幕,其較不會破壞或暴露出所形成的源極/汲極特徵。根據微影製程,本揭露的方法可形成脊部或溝槽在隔離特徵內,隔離特徵係設置在或靠近在p型源極/汲極特徵及相鄰之n型源極/汲極特徵之間的中心線。
本揭露的各種態樣會在以下參照圖式更詳細的說明。如此,圖1係繪示根據本揭露之實施例之用以自工件形成半導體結構之方法100的流程圖。方法100僅為一具體例,且無意限制本揭露明確地為所繪示者。可在方法100之前、期間及之後提供額外的步驟,且對於方法的其他實施例,所述之一些步驟可被取代、減少或移動。為了簡化的目的,並非所有步驟都在本揭露詳細說明。方法100係在以下結合圖2至圖26說明,其係根據圖1之方法100的實施例之工件200在不同的製造階段中之部分剖面視圖。因為工件200會被製作為半導體結構或半導體裝置,在本揭露的文中需要時,工件200可視為半導體結構或半導體裝置。當本揭露說明之半導體結構包含鰭式場效電晶體時,方法100可用以形成其他多閘極裝置,例如環繞式閘極電晶體。為了避免疑義,圖2至圖26中的X、Y及Z方向係彼此垂直。在本揭露中,除非另外說明,相似的元件符號係表示相似的特徵。
請參閱圖1及圖2,方法100包含方塊102,接收工件200。工件200包含在基材202之第一區域10上的第一鰭片203及在基材202之第二區域20上的第二鰭片204。在一些實施例中,基材202可為例如矽(Si)基材的半導體基材。基材202可根據設計需求而包含各種摻雜配置,如本領域所熟知。如圖2所示,基材202包含第一區域10及第二區域20。第一區域10及第二區域20為不同的裝置區域。舉例而言,第一區域10可為p型裝置區域,而第二區域20可為n型裝置區域。不同的摻雜分布(例如n阱或n型阱、p阱或p型阱)可形成在基材202上。舉例而言,n型阱係形成在第一區域10中,且p型阱係形成在第二區域20中。合適的摻雜可包含摻質的離子佈植及/或擴散製程。基材202亦可包含其他的半導體材料,例如鍺(Ge)、碳化矽(SiC)、矽鍺(SiGe)或鑽口。再者,工件200可選擇性地包含沉積在基材202上的磊晶層,其係利用分子束磊晶(molecular beam epitaxy,MBE)製程、有機金屬化學氣相沉積(metalorganic chemical vapor deposition,MOCVD)製程及/或其他合適的磊晶成長製程。磊晶層可應變而使效能改善。在一些實施例中,基材202可進一步包含內埋絕緣層,以包含絕緣層上覆矽(silicon-on-insulator,SOI)結構、絕緣層上覆鍺(germanium-on-insulator,GeOI)結構。
工件200包含在第一區域10上的第一鰭片203及在第二區域20上的第二鰭片204。第一鰭片203及第二鰭片204可為成對,而與相鄰的鰭片對分開。為了說明的目的,圖2包含在第一區域10上的二個第一鰭片203及在第二區域20上的二個第二鰭片204。第一鰭片203及第二鰭片204可自基材202被圖案化,或可為利用合適的製程形成在基材202上的磊晶層,其製程包含雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影及自對準製程,使圖案被創造為例如具有間隔小於其他利用單一且直接的光微影製程所獲得者。舉例而言,在一實施例中,犠牲層係形成在基材上,並利用光微影製程圖案化。間隙壁係利用自對準製程而沿著圖案化犠牲層而形成。然後,犠牲層係被移除,且剩餘的間隙壁或心軸可接著藉由蝕刻基材202,而被用以圖案化第一鰭片203及第二鰭片204。蝕刻製程可包含乾式蝕刻、濕式蝕刻、反應性離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程。
請繼續參閱圖2。須注意的是,根據本揭露之方法(例如方法100)對工件200具有特定的應用,其中在第一區域10上的第一鰭片203與相鄰的在第二區域20上的第二鰭片204之間的間隙S係介於約20 nm及約100 nm之間。此範圍並非不重要。如以下進一步說明,當間隙S小於20 nm時,鮮少或沒有空間可藉由光學鄰近校正(optical proximity correction,OPC)對圖形設計系統(Graphic Design System,GDS)佈局檔案進行修正,以後移圖案化光阻層的邊緣。事實上,當間隙S係小於20 nm,圖形設計系統檔案仍可對所形成之源極/汲極特徵造成破壞。當間隙S大於100 nm時,僅微小或沒有對源極/汲極特徵造成破壞的風險。如此係因為此間隙可接受被蝕刻製程期間的底切或圖案化光阻層不想要的邊緣粗糙度導致的製程變化。除此之外,當間隙S係小於20 nm或大於100 nm時,一些結構特徵係無法觀察到,因為濕式清洗製程(以下說明)可消除所述結構特徵或在環境中混合所述結構特徵。
請繼續參閱圖1及圖3,方法100包含方塊104,形成隔離特徵206。在一些例示中,隔離特徵206亦可代表淺溝渠隔離(shallow trench isolation,STI)特徵206。舉例而言,在一些實施例中,介電層係首先被沉積在基材202上,以介電材料填充相鄰鰭片之間的溝槽。在一些實施例中,介電層可包含氧化矽,且可利用高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition,HDPCVD)、化學氣相沉積、流動式化學氣相沉積(flowable CVD,FCVD)或旋轉塗佈來沉積。然後,沉積的介電材料係被薄化及被平坦化,其係例如藉由化學機械研磨(chemical mechanical polishing,CMP)製程。平坦化的介電層係進一步藉由乾式蝕刻製程、濕式蝕刻製程及/或前述之組合而被凹陷,以形成隔離特徵206。如圖3所示,第一鰭片203及第二鰭片204之頂部部分可提升在隔離特徵206之上,而第一鰭片203及第二鰭片204之底部部分可維持內埋於隔離特徵206內。在未明確繪示的一些實施例中,隔離特徵206可包含多層結構。舉例而言,隔離特徵206可包含襯墊及填充物,其中襯墊係直接接觸基材202及鰭片(包含第一鰭片203及第二鰭片204),而填充物係藉由襯墊與基材202及鰭片分開。在一些例示中,襯墊可包含矽或氮化矽,而填充物可包含氧化矽。
請參閱圖1及圖4,方法100包含方塊106,虛擬閘極堆疊208係形成在第一鰭片203及第二鰭片204的通道區域上。第一鰭片203及第二鰭片204之每一者係沿著Y方向縱向延伸。沿著Y方向,第一鰭片203及第二鰭片204之每一者包含通道區域及源極/汲極區域。每一個通道區域係設置在二個源極/汲極區域之間。在一些實施例中,採用閘極取代或後閘極製程,而虛擬閘極堆疊208做為高介電常數(k)金屬閘極堆疊的占位區(placeholder),其會被移除且被高k金屬閘極堆疊所取代。可能為其他的製程及配置。在以圖4表示的一些實施例中,虛擬閘極堆疊208係形成在基材202上。虛擬閘極堆疊208係沿著X方向縱向延伸,以交錯第一鰭片203及第二鰭片204。虛擬閘極堆疊208係形成在第一鰭片203及第二鰭片204的通道區域之表面上,而第一鰭片203及第二鰭片204之源極/汲極區域係不被虛擬閘極堆疊208所覆蓋。圖4係繪示第一鰭片203及第二鰭片204之源極/汲極區域的剖面圖。由於虛擬閘極堆疊208係設置在通道區域上且在平面外,虛擬閘極堆疊208係以虛線繪示。
虛擬閘極堆疊208可包含虛擬介電層及虛擬電極層。在方塊106中的操作可包含形成虛擬介電層及虛擬電極層在工件200上,並圖案化虛擬介電層及虛擬電極層,以使源極/汲極區域不被虛擬介電層及虛擬電極層所覆蓋。在一些實施例中,虛擬介電層可包含氧化矽及/或其他合適的材料。在各種具體例中,虛擬介電層可藉由化學氣相沉積製程、次常壓化學氣相沉積(subatmospheric CVD,SACVD)製程、流動式化學氣相沉積製程、原子層沉積製程或其他合適的製程。虛擬電極層可包含多晶矽,且可利用低壓化學氣相沉積(low-pressure CVD,LPCVD)、化學氣相沉積或原子層沉積來沉積。然後,沉積的虛擬介電層及虛擬電極層可被圖案化,以形成虛擬閘極堆疊208。舉例而言,圖案化製程可包含微影製程(例如光微影或電子束微影),其可進一步包含光阻塗佈(例如旋轉塗佈)、軟烘烤、光罩對準、曝光、後曝光烘烤、光阻顯影、清洗、乾燥(例如旋轉乾燥及/或硬烘烤)、其他合適的微影技術及/或其組合。在一些實施例中,蝕刻製程可包含乾式蝕刻(例如反應性離子蝕刻)、濕式蝕刻及/或其他蝕刻方法。在圖案化之後,虛擬閘極堆疊僅設置在第一鰭片203及第二鰭片204的通道區域上。
請參閱圖1及圖5,方法100包含方塊108,閘極間隙壁層210係沉積在工件200上。在一些實施例中,閘極間隙壁層210係共形地沉積在工件200上,其係包含在虛擬閘極堆疊208之頂表面及側壁上、在第一鰭片203及第二鰭片204之頂表面及側壁上及在隔離特徵206之頂表面上。用語「共形地」在本揭露中係用以簡化描述具有實質均勻之厚度在各區域上的一層。閘極間隙壁層210可包含介電材料,其係不同於在虛擬閘極堆疊208中的虛擬介電層或虛擬電極,以使虛擬閘極堆疊208可在後續被選擇性地移除,而實質上不破壞閘極間隙壁層210。閘極間隙壁層210的組成亦不同於隔離特徵206的組成。在一些實施例中,閘極間隙壁層210可包含氮化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽或前述之組合。在一實施例中,閘極間隙壁層210包含碳氮氧化矽(SiOCN),其係比虛擬介電層更抗蝕刻,但其具有之介電常數係小於氮化矽(SiN)的介電常數。在未明確繪示於圖5的一些實施例中,閘極間隙壁層210可包含多層。閘極間隙壁層210可利用化學氣相沉積製程、次常壓化學氣相沉積製程、流動式化學氣相沉積製程、原子層沉積製程或其他合適的製程來沉積。由於設置在虛擬閘極堆疊208之側壁上的閘極間隙壁層210係在通道區域上且在平面外,設置在虛擬閘極堆疊208之側壁上的閘極間隙壁層210係以虛線繪示。
請參閱圖1、圖6及圖7,方法100包含方塊110,第一圖案罩幕2120係形成在第二鰭片204上。在方塊110中,為了形成第一圖案罩幕2120,第一硬罩幕層212係先形成在工件200上,如圖6所示。在一些實施例中,第一硬罩幕層212可為底部抗反射塗佈(bottom antireflective coating,BARC)層,其係包含旋塗碳(spin-on carbon,SOC)或含聚合物的矽,例如聚矽氮烷(polysilazane)樹脂。第一硬罩幕層212可利用旋轉塗佈或流動式化學氣相沉積而沉積在工件200上。如圖6所示,第一光阻層214係接著沉積在第一硬罩幕層212上,且被圖案化以覆蓋在第二區域20上的第二鰭片204,而在第一區域10中的第一鰭片203係不被圖案化的第一光阻層214所覆蓋。在第一光阻層214被圖案化之後,第一硬罩幕層212係利用圖案化的第一光阻層214做為蝕刻罩幕來蝕刻,以形成第一圖案罩幕2120。
在一些實施例中,第一硬罩幕層212的蝕刻可利用乾式蝕刻製程來進行,其係以含氧氣體、含氟氣體[例如四氟化碳(CF
4)、六氟化硫(SF
6)、二氟甲烷(CH
2F
2)、三氟甲烷(CHF
3)及/或六氟乙烷(C
2F
6)]、含氯氣體[氯氣、三氯甲烷(CHCl
3)、四氯化碳(CCl
4)及/或三氯化硼(BCl
3)]、含溴氣體[例如溴化氫(HBr)及/或三溴甲烷(CHBr
3)]、含碘氣體、其他合適的氣體及/或電漿及/或前述之組合來執行。乾式蝕刻製程可在約150℃及約400℃之間的高溫下進行,以縮短製程時間且在偏壓下可改善異向性蝕刻。可理解的是,乾式蝕刻製程可在較高的製程溫度下變得更同向性。換言之,乾式蝕刻製程可橫向地蝕刻第一硬罩幕層212及隔離特徵206,造成底切或保齡球狀側壁輪廓。為了補救此狀況,本揭露之方法利用低約5℃至約20℃的製程溫度,例如在約130℃及約380℃之間。另外,可施加較強的偏壓,以提升方向性蝕刻。在一些實施例中,乾式蝕刻的直流電(direct current,DC)可為約0 eV及約500 eV之間。
如上所述,當在第一鰭片203及相鄰之第二鰭片204之間的間隙S為約20 nm至約100 nm時,圖案化第一光阻層214的圖形設計系統佈局可在光學鄰近校正製程中修正或修飾。在圖6所表示的一些實施例中,當間隙S係在約60 nm及約100 nm之間且第一區域10的後續蝕刻非完全地異向性時,圖形設計系統佈局係被修飾以使第一光阻層214的邊緣在中心線C-C'上以第一偏移量L1延伸至第一區域10,如圖6所示。如此,第一偏移量L1可包含底切量,並確保被圖案罩幕所覆蓋的結構不被破壞。如圖7所示,沿著X方向,由於底切所造成的保齡球型,第一圖案罩幕2120的頂表面比第一圖案罩幕2120的底表面更寬。
請參閱圖1及圖7,方法100包含方塊112,第一鰭片203的第一源極/汲極區域203SD係利用第一圖案罩幕2120做為蝕刻罩幕被蝕刻。在方塊112中,第一圖案罩幕2120係應用為保護第二區域20的蝕刻罩幕,而第一鰭片203的第一源極/汲極區域203SD係被凹陷,且在第一源極/汲極區域203SD上的閘極間隙壁層係被蝕刻。在方塊112的操作暴露第一源極/汲極區域203SD的一部分,以使後續形成的源極/汲極特徵可形成在第一源極/汲極區域203SD之暴露表面上。在一些實施例中,閘極間隙壁層210之一部分及隔離特徵206之一部分可保持為沿著第一源極/汲極區域203SD之下側壁設置。由於第一源極/汲極特徵220的沉積(以下說明)係選擇性至半導體表面,沿著第一源極/汲極區域203SD之側壁設置的閘極間隙壁層210及隔離特徵206有助於控制第一源極/汲極特徵220的成長。方塊112的蝕刻亦使在第一區域10上的隔離特徵206凹陷。在圖7所表示的一些實施例中,在第一區域10上的隔離特徵206可被垂直地凹陷第一深度D1,其係相較於在在第二區域20上的隔離特徵206之頂表面。在一些例示中,第一深度D1可為約10 nm至約25 nm。在圖7所繪示的一些實施例中,由於第一圖案罩幕2120延伸過中心線C-C'至第一區域10,未蝕刻的隔離特徵206亦延伸過中心線C-C'。
方塊112的蝕刻亦可利用乾式蝕刻製程來進行。舉例而言,乾式蝕刻製程可以含氧氣體、含氟氣體[例如四氟化碳(CF
4)、六氟化硫(SF
6)、二氟甲烷(CH
2F
2)、三氟甲烷(CHF
3)及/或六氟乙烷(C
2F
6)]、含氯氣體[氯氣、三氯甲烷(CHCl
3)、四氯化碳(CCl
4)及/或三氯化硼(BCl
3)]、含溴氣體[例如溴化氫(HBr)及/或三溴甲烷(CHBr
3)]、含碘氣體、其他合適的氣體及/或電漿及/或前述之組合來執行。在第一源極/汲極區域203SD的蝕刻之後,工件200可經過濕式清洗製程,以自半導體表面移除殘渣及氧化物。舉例而言,濕式清洗製程可包含標準清洗1[RCA SC-1,去離子(deionized,DI)水、氫氧化銨及雙氧水的混合物]、標準清洗2(RCA SC-2,去離子水、氫氯酸及雙氧水的混合物)、硫酸-過氧化氫混合物(sulfuric peroxide mixture,SPM)及/或氫氟酸,以移除氧化物。由於濕式清洗製程係基本的濕式清洗製程,此為同向性且可延伸保齡球狀或底切輪廓。
請參閱圖1及圖8,方法100包含方塊114,形成第一源極/汲極特徵220。在一些實施例中,方塊114的操作係配置為使第一源極/汲極特徵220選擇性地沉積在半導體表面上,例如第一源極/汲極區域203SD的暴露部分。換言之,鮮少或沒有第一源極/汲極特徵220可沉積或成長在介電表面上,例如隔離特徵206、閘極間隙壁層210或第一圖案罩幕2120的表面。第一源極/汲極特徵220可為n型源極/汲極特徵或p型源極/汲極特徵。舉例而言,第一源極/汲極特徵220可包含鍺(Ge)、矽(Si)、砷化鎵(GaAs)、砷化鋁鎵(AlGaAs)、矽鍺(SiGe)、磷砷化鎵(GaAsP)、磷化矽(SiP)或其他合適的材料,且可包含n型摻質[例如磷(P)或砷(As)]或p型摻質[例如硼(B)或二氟化硼(BF
2)]。在一實施例中,第一源極/汲極特徵220為p型且包含矽鍺(SiGe)及p型摻質[例如硼(B)]。用以形成第一源極/汲極特徵220的合適磊晶製程包含化學氣相沉積技術[例如氣相磊晶(vapor-phase epitaxy,VPE)及/或超高真空化學氣相沉積(ultra-high CVD,UHV-CVD)]、分子束磊晶(molecular beam epitaxy,MBE)及/或其他合適的製程。磊晶成長製程可利用氣態及/或液態前驅物,其可與第一源極/汲極區域203SD的組成物交互作用。在第一源極/汲極特徵220內的摻質可在磊晶製程期間藉由導入摻雜物以原位(
in-situ)摻雜。當第一源極/汲極特徵220非原位摻雜時,佈植製程[例如接面佈植(junction implant)製程]係進行以摻雜第一源極/汲極特徵220。雖然未明確地繪示於圖式中,第一源極/汲極特徵220可為多層結構。在一具體例中,第一源極/汲極特徵220可包含過渡磊晶層、在過渡磊晶層上的重摻雜磊晶層及在過渡磊晶層及重摻雜磊晶層上的覆蓋磊晶層。重摻雜磊晶層具有在三個次層中最高的摻質濃度,以減少接觸電阻。過渡磊晶層具有低於重摻雜磊晶層的摻質濃度,以減少晶格缺陷密度。相較於具有較高蝕刻阻抗之重摻雜磊晶層之摻質濃度,具有較低摻質濃度的覆蓋磊晶層係操作以減少在重摻雜磊晶層內之摻質的向外擴散。在第一源極/汲極特徵220為多層結構的一具體例中,其過渡磊晶層、重摻雜磊晶層及覆蓋磊晶層係由矽鍺(SiGe)所組成,且係摻雜硼(B)。
在第一源極/汲極特徵220形成之後,第一圖案罩幕2120係藉由灰化或選擇性蝕刻而被選擇性地移除。第一圖案罩幕2120係配置以使第一源極/汲極特徵220的破壞被最小化。可看出的是,圖8所示的第一圖案罩幕2120不再存在於圖9。
請參閱圖1、圖9及圖10,方法100包含方塊116,第二圖案罩幕2220係形成在第一源極/汲極特徵220上。在方塊116中,為了形成第二圖案罩幕2220,先形成第二硬罩幕層222在工件200上,如圖9所示。在一些實施例中,第二硬罩幕層222可為底部抗反射塗層,其包含旋塗碳或含矽的聚合物,例如聚矽氮烷樹脂。第二硬罩幕層222可利用旋轉塗佈或流動式化學氣相沉積而沉積在工件200上。如圖9所示,第二光阻層224係接著沉積並被圖案化以覆蓋在第一區域10上的第一源極/汲極特徵220,而在第二區域20中的第二鰭片204係不被圖案化的第二光阻層224所覆蓋。在第二光阻層224被圖案化之後,第二硬罩幕層222係利用圖案化的第二光阻層224做為蝕刻罩幕來蝕刻,以形成第二圖案罩幕2220。
在一些實施例中,第二硬罩幕層222的蝕刻可利用乾式蝕刻製程來進行,其係以含氧氣體、含氟氣體[例如四氟化碳(CF
4)、六氟化硫(SF
6)、二氟甲烷(CH
2F
2)、三氟甲烷(CHF
3)及/或六氟乙烷(C
2F
6)]、含氯氣體[氯氣、三氯甲烷(CHCl
3)、四氯化碳(CCl
4)及/或三氯化硼(BCl
3)]、含溴氣體[例如溴化氫(HBr)及/或三溴甲烷(CHBr
3)]、含碘氣體、其他合適的氣體及/或電漿及/或前述之組合來執行。乾式蝕刻製程可在約150℃及約400℃之間的高溫下進行,以縮短製程時間且在偏壓下可改善異向性蝕刻。可理解的是,乾式蝕刻製程可在較高的製程溫度下變得更等向性。換言之,乾式蝕刻製程可橫向地蝕刻第二硬罩幕層222及隔離特徵206,造成底切或保齡球狀側壁輪廓。為了補救此狀況,本揭露之方法利用低約5℃至約20℃的製程溫度,例如在約130℃及約380℃之間。另外,可施加較強的偏壓,以提升方向性蝕刻。在一些實施例中,乾式蝕刻的直流電(direct current,DC)可為約0 eV及約500 eV之間。
如上所述,當在第一鰭片203及相鄰之第二鰭片204之間的間隙S為約20 nm至約100 nm時,圖案化第一光阻層214的圖形設計系統佈局可在光學鄰近校正製程中修正或修飾。在圖9所表示的一些實施例中,當間隙S係在約60 nm及約100 nm之間且第二區域20的後續蝕刻非完全地異向性時,圖形設計系統佈局係被修飾以使第二光阻層224的邊緣在中心線C-C'上以第一偏移量L1延伸至第一區域10,如圖9所示。如此,第一偏移量L1可包含底切量,並確保被圖案罩幕所覆蓋的結構不被破壞。如圖10所示,沿著X方向,由於底切所造成的保齡球型,第二圖案罩幕2220的頂表面比第二圖案罩幕2220的底表面更寬。
請參閱圖1及圖10,方法100包含方塊118,第二鰭片204的源極/汲極區域係利用第二圖案罩幕2220做為蝕刻罩幕而被蝕刻。在方塊118中,第二圖案罩幕2220係應用為保護在第一區域10中的第一源極/汲極特徵220的蝕刻罩幕,而第二鰭片204的第二源極/汲極區域204SD係被蝕刻,以移除閘極間隙壁層210。方塊118中的操作暴露出第二源極/汲極區域204SD之一部分,以使第二源極/汲極特徵230(以下說明)係形成在第二源極/汲極區域204SD之暴露表面。在一些實施例中,閘極間隙壁層210之一部分及隔離特徵206之一部分可維持為沿著第二源極/汲極特徵204SD之下側壁設置。由於第二源極/汲極特徵230(以下說明)的沉積係選擇性至半導體表面,沿著第二源極/汲極區域204SD之側壁設置的閘極間隙壁層210及隔離特徵206有助於控制第二源極/汲極特徵230的成長。為了確保閘極間隙壁層210係令人滿意的自第二源極/汲極區域204SD移除,在方塊118的蝕刻亦可使在第二區域20上的隔離特徵206被凹陷。在圖10表示的一些實施例中,在第二區域20上的隔離特徵206可被垂直地凹陷實質上相同的第一深度D1。在圖10所繪示的一些實施例中,由於第二圖案罩幕2220延伸過中心線C-C'至第二區域20,未蝕刻的隔離特徵206亦延伸過中心線C-C'。在圖10所繪示的一些實施例中,沿著中心線C-C'的隔離特徵206之一部分及在其頂部上的閘極間隙壁層210可在方塊112及方塊118中維持為未蝕刻。因此,脊部240可形成在第一區域10及第二區域20的接面。脊部240係在中心線C-C'附近或周圍的隔離特徵206之局部突出。脊部240包含自隔離特徵206形成之底部部分232及自閘極間隙壁層210形成之頂部部分。
方塊118中的蝕刻亦可利用乾式蝕刻製程進行。舉例而言,乾式蝕刻製程可以含氧氣體、含氟氣體[例如四氟化碳(CF
4)、六氟化硫(SF
6)、二氟甲烷(CH
2F
2)、三氟甲烷(CHF
3)及/或六氟乙烷(C
2F
6)]、含氯氣體[氯氣、三氯甲烷(CHCl
3)、四氯化碳(CCl
4)及/或三氯化硼(BCl
3)]、含溴氣體[例如溴化氫(HBr)及/或三溴甲烷(CHBr
3)]、含碘氣體、其他合適的氣體及/或電漿及/或前述之組合來執行。在第二源極/汲極區域204SD的蝕刻之後,工件200可經過濕式清洗製程,以自半導體表面移除殘渣及氧化物。舉例而言,濕式清洗製程可包含標準清洗1[RCA SC-1,去離子(deionized,DI)水、氫氧化銨及雙氧水的混合物]、標準清洗2(RCA SC-2,去離子水、氫氯酸及雙氧水的混合物)、硫酸-過氧化氫混合物(sulfuric peroxide mixture,SPM)及/或氫氟酸,以移除氧化物。由於濕式清洗製程係基本的濕式清洗製程,此為同向性且可延伸保齡球狀或低切輪廓。濕式清洗製程可減少脊部240之頂部部分,但不可完整地移除脊部240之頂部部分,其係自閘極間隙壁層210形成且可包含碳氮氧化矽。
請參閱圖1及圖11,方法100包含方塊120,形成第二源極/汲極特徵230。在一些實施例中,方塊120的操作係配置為使第二源極/汲極特徵230選擇性地沉積在半導體表面上,例如第二源極/汲極區域204SD的暴露部分。換言之,鮮少或沒有第二源極/汲極特徵230可沉積或成長在介電表面上,例如隔離特徵206、閘極間隙壁層210或第二圖案罩幕2220的表面。舉例而言,第二源極/汲極特徵230可包含鍺(Ge)、矽(Si)、砷化鎵(GaAs)、砷化鋁鎵(AlGaAs)、矽鍺(SiGe)、磷砷化鎵(GaAsP)、磷化矽(SiP)或其他合適的材料,且可包含n型摻質[例如磷(P)或砷(As)]或p型摻質[例如硼(B)或二氟化硼(BF
2)]。在一實施例中,第二源極/汲極特徵230為n型且包含矽(Si)及n型摻質[例如磷(P)]。用以形成第二源極/汲極特徵230的合適磊晶製程包含化學氣相沉積技術[例如氣相磊晶(vapor-phase epitaxy,VPE)及/或超高真空化學氣相沉積(ultra-high CVD,UHV-CVD)]、分子束磊晶(molecular beam epitaxy,MBE)及/或其他合適的製程。磊晶成長製程可利用氣態及/或液態前驅物,其可與第二源極/汲極區域204SD的組成物交互作用。在第二源極/汲極特徵230內的摻質可在磊晶製程期間藉由導入摻雜物以原位摻雜。當第二源極/汲極特徵230非原位摻雜時,佈植製程[例如接面佈植製程]係進行以摻雜第二源極/汲極特徵230。雖然未明確地繪示於圖式中,第二源極/汲極特徵230可為多層結構。在一具體例中,第二源極/汲極特徵230可包含過渡磊晶層、在過渡磊晶層上的重摻雜磊晶層及在過渡磊晶層及重摻雜磊晶層上的覆蓋磊晶層。重摻雜磊晶層具有在三個次層中最高的摻質濃度,以減少接觸電阻。過渡磊晶層具有低於重摻雜磊晶層的摻質濃度,以減少晶格缺陷密度。具有低於重摻雜磊晶層之摻質濃度的覆蓋磊晶層係操作以減少在重摻雜磊晶層內之摻質的向外擴散。在第二源極/汲極特徵230為多層結構的一具體例中,其過渡磊晶層、重摻雜磊晶層及覆蓋磊晶層係由矽 (Si)所組成,且係摻雜磷(P)。
請參閱圖1及圖12,方法100包含方塊122,進行進一步的製程。此進一步的製程可包含在工件200上沉積接觸蝕刻中止層(contact etch stop layer,CESL)234、在接觸蝕刻中止層234上沉積層間介電(interlayer dielectric,ILD)層236及以金屬閘極結構取代虛擬閘極堆疊208。在一些具體例中,接觸蝕刻中止層234可包含氮化矽或其他本領域中習知的材料。接觸蝕刻中止層234可藉由原子層沉積、電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)製程及/或其他合適的沉積或氧化製程。在一些實施例中,層間介電層236可包含四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽玻璃或摻雜氧化矽,例如硼磷矽玻璃(borophosphosilicate glass,BPSG)、熔融矽石玻璃(fused silica glass,FSG)、磷矽玻璃(phosphosilicate glass,PSG)、硼摻雜矽玻璃(boron doped silicon glass,BSG)及/或其他合適的介電材料。層間介電層236可藉由電漿輔助化學氣相沉積、流動式化學氣相沉積、旋轉塗佈或合適的沉積技術來沉積。在一些實施例中,在層間介電層236形成之後,可退火工件200,以改善層間介電層236的完整性。
在層間介電層236沉積之後,可進行平坦化製程,以移除多餘的介電材料。舉例而言,平坦化製程包含化學機械平坦化製程,其移除在虛擬閘極堆疊208上方的層間介電層236之部分,並平坦化工件200之頂表面。在虛擬閘極堆疊208被暴露下,進行一或多個蝕刻製程,以選擇性地移除虛擬閘極堆疊208而實質上不蝕刻沿著虛擬閘極堆疊208之側壁設置的閘極間隙壁層210。虛擬閘極堆疊208的移除產生被閘極間隙壁層210所定義的閘極溝槽。金屬閘極結構可接著形成於閘極溝槽內。金屬閘極結構可包含界面層、在界面層上的閘極介電層及形成在閘極介電層上的閘極電極層。
金屬閘極結構的界面層可包含介電材料,例如氧化矽、矽酸鉿或氮氧化矽。界面層可藉由化學氧化、熱氧化、原子層沉積、化學氣相沉積及/或其他合適的方法來形成。閘極介電層可包含高k介電層,例如氧化鉿。另外,閘極介電層可包含其他高k介電質,例如二氧化鈦(TiO
2)、氧化鋯鉿(HfZrO)、氧化鉭(Ta
2O
3)、二氧化鋯(ZrO
2)、矽酸鋯(ZrSiO
2)、氧化鑭(LaO)、氧化鋁(AlO)、氧化鋯(ZrO)、氧化鈦(TiO)、五氧化二鉭(Ta
2O
5)、氧化釔(Y
2O
3)、鈦酸鍶(SrTiO
3,STO)、鈦酸鋇(BaTiO
3,BTO)、氧化鋯鋇(BaZrO)、氧化鋯鉿(HfZrO)、氧化鑭鉿(HfLaO)、矽氧化鉿(HfSiO)、氧化矽鑭(LaSiO)、氧化矽鋁(AlSiO)、氧化鉭鉿(HfTaO)、氧化鈦鉿(HfTiO)、鈦酸鍶鋇[(Ba,Sr)TiO
3,BST]、氧化鋁(Al
2O
3)、氮化矽(Si
3N
4)、氮氧化矽(SiON)、前述之組合或其他合適的材料。高k閘極介電層可藉由原子層沉積、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、氧化及/或其他合適的方法來形成。如此,如本揭露所使用及說明的高k閘極介電質包含具有高介電常數的介電材料,例如介電常數大於熱氧化矽之介電常數(~3.9)。
閘極電極層可包含單層或取而代之的多層結構,例如具有所選之功函數的金屬層之各種組合以提升裝置效能(功函數金屬層)、襯墊層、潤濕層、黏著層、金屬合金或金屬矽化物。舉例而言,閘極電極層可包含鈦(Ti)、銀(Ag)、鋁(Al)、氮化鈦鋁(TiAlN)、碳化鉭(TaN)、碳氮化鉭(TaCN)、氮化矽鉭(TaSiN)、錳(Mn)、鋯(Zr)、氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、氮化鎢(WN)、銅(Cu)、鎢(W)、錸(Re)、銥(Ir)、鈷(Co)、鎳(Ni)、其他合適的金屬材料或前述之組合。在各種實施例中,閘極電極層可藉由原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍或其他合適的製程。
請繼續參閱圖12。在脊部240被形成的實施例中,方塊122中形成的接觸蝕刻中止層234係直接接觸脊部240之底部部分232的側壁,其係由隔離特徵206所形成。脊部240之底部部分232的頂表面可至少部分地被頂部部分覆蓋,其係由閘極間隙壁層210所形成。在繪示的實施例中,底部部分232的頂表面之至少一部分係藉由頂部部分而與接觸蝕刻中止層234分開,其係由閘極間隙壁層210所形成。當接觸蝕刻中止層234係由氮化矽所組成,隔離特徵206係由氧化矽所組成,且閘極間隙壁層210係由碳氮氧化矽所組成,脊部240之頂部部分的存在可藉由碳(C)的檢測來確認,其係不會在接觸蝕刻中止層234或隔離特徵206中發現。包含頂部部分及底部部分232的脊部240具有沿著Z方向的高度H及沿著X方向的第一寬度W1。高度H可為約10 nm至約30 nm之間,而第一寬度W1可為間隙S的約10%至約30%之間。此範圍並非不重要。當第一寬度W1小於間隙S的10%,所得之脊部240不具有足以承受後續濕式清洗製程的材料。當第一寬度W1大於間隙S的30%,所得之脊部240係夠寬且在其周圍會與隔離特徵206混合。如圖12所示,脊部240向上延伸至層間介電層236中,並設置在第一源極/汲極區域203SD及相鄰的第二源極/汲極區域204SD之間。
第一圖案罩幕2120及第二圖案罩幕2220相對於中心線C-C'可具有不同的披覆度,其成為取代實施例。圖13至圖19係繪示第一取代實施例,而圖20至圖25係繪示第二取代實施例。不同的披覆度可藉由不同圖形設計系統佈局的光學鄰近校正修飾來實現。
第一取代實施例係在間隙S為約20 nm至約60 nm之間下實施。當間隙S落在此範圍中,在中心線C-C'附近或周圍的隔離特徵206會被蝕刻二次,甚至結合光學鄰近校正修飾。請參閱圖13,在第一取代實施例中,在方塊110形成的第一光阻層214可以第二偏移量L2延伸超過中心線C-C',且第二偏移量L2係小於第一偏移量L1。因此,第一圖案罩幕2120的底部邊緣不延伸在第一區域10上,如圖14所示,且在中心線C-C'附近或周圍的隔離特徵206係在方法100的方塊112中被蝕刻。在第一區域10被蝕刻以部分地移除閘極間隙壁層210之後,第一源極/汲極特徵220係形成在第一源極/汲極區域203SD上,如圖15所示。請參閱圖16,在方塊116中形成的第二光阻層224以相同的第二偏移量L2延伸超過中心線C-C'。因此,第二圖案罩幕2220的底部邊緣亦不延伸在第二區域20上,如圖17所示,且在中心線C-C'附近或周圍的隔離特徵206係在方法100的方塊118中再次被蝕刻。由於在中心線C-C'附近或周圍的隔離特徵206係在第一取代實施例中被凹陷二次,可形成溝槽2320在隔離特徵206內。在一些例示中,溝槽2320可實質對準中心線C-C'。如圖18所示,在第二源極/汲極特徵230形成在第二區域20上之後,接觸蝕刻中止層234及層間介電層236係沉積在第一源極/汲極特徵220及第二源極/汲極特徵230上。如圖19所示,接觸蝕刻中止層234及層間介電層236可沉積在溝槽中。在一些實施例中,接觸蝕刻中止層234係設置在溝槽2320之表面上,而層間介電層236填充溝槽2320內的剩餘空間。換言之,接觸蝕刻中止層234之部分及層間介電層236之部分延伸至溝槽2320,以形成接頭2360,如圖19所示。
圖19中的接頭2360可具有第二深度D2及第二寬度W2。在一些實施例中,第二深度D2可為約10 nm至約30 nm之間,而第二寬度W2可小於間隙S的約30%。此範圍並非不重要。當第二寬度W2大於間隙S的30%,溝槽係寬且圓形,並在其周圍會與隔離特徵206混合,而所得之接頭2360會與環境的區別不明顯。請參閱圖20,在第二取代實施例中,在方塊110中的第一光阻層214係以第三偏移量L3延伸超過中心線C-C',其中第三偏移量L3係小於第一偏移量L1但大於第二偏移量L2。因此,第一圖案罩幕2120的底部邊緣係實質對準中心線C-C',如圖21所示。在第一區域10被蝕刻以部分地移除閘極間隙壁層210之後,第一源極/汲極特徵220係形成在第一源極/汲極區域203SD上,如圖22所示。請參閱圖23,在方塊116中形成的第二光阻層224以相同的第三偏移量L3延伸超過中心線C-C'。因此,第二圖案罩幕2220的底部邊緣亦實質對準中心線C-C',如圖24所表示。換言之,在第二取代實施例中,二個凹陷操作的邊界係實質對準。由於在中心線C-C'附近或周圍的隔離特徵206係非完整(即未被蝕刻)或被二次凹陷的,在中心線C-C'附近或周圍的隔離特徵206係實質平坦,而不具有圖12所示之脊部240或圖19所示之接頭2360。在如圖25所示之第二源極/汲極特徵230形成在第二區域20之後,接觸蝕刻中止層234及層間介電層236係沉積在第一源極/汲極特徵220及第二源極/汲極特徵230上。如圖26所示,接觸蝕刻中止層234及層間介電層236皆沉積在中心線C-C'附近或周圍的平坦表面206T上。
在一例示態樣中,本揭露係指出一種半導體結構。半導體結構包含包括第一區域及與第一區域相鄰的第二區域的基材、設置在第一區域上的第一鰭片、設置在第二區域上的第二鰭片、設置在第一鰭片上的第一源極/汲極特徵和設置在第二鰭片上的第二源極/汲極特徵以及設置在第一鰭片與第二鰭片之間的隔離結構。隔離結構具有上升到隔離結構之其餘部分上的突出特徵,突出特徵係設置在第一鰭片與第二鰭片之間,且突出特徵之寬度係在第一鰭片與第二鰭片之間之間隙的10%至30%。
在一些實施例中,第一源極/汲極特徵包含矽及n型摻質,且第二源極/汲極特徵包含矽鍺及p型摻質。在一些實施例中,半導體結構更包含設置在第一源極/汲極特徵、第二源極/汲極特徵、隔離結構及突出特徵上的介電層。在一些實施例中,半導體結構更包含設置在突出特徵之頂表面及介電層之間的閘極間隙壁層。在一些實施例中,半導體結構更包含設置在介電層與第一源極/汲極特徵之間、介電層與第二源極/汲極特徵之間、介電層與隔離結構之間以及介電層與突出特徵的側壁之間的接觸蝕刻中止層。在一些實施例中,介電層包含氧化矽,接觸蝕刻中止層包含氮化矽,且閘極間隙壁層包含碳氮氧化矽。在一些例示中,第一鰭片與第二鰭片之間的間隙為約20 nm至約100 nm。在一些實施例中,突出特徵的高度為約10 nm至約25 nm,且突出特徵的寬度為第一鰭片與第二鰭片之間之間隙的約10%至約30%。
在另一例示態樣中,本揭露係指出一種半導體結構。半導體結構包含具有第一區域及與第一區域相鄰的第二區域的基材、設置在第一區域上的第一鰭片第二鰭片、設置在第二區域上的第三鰭片及第四鰭片、設置在第一鰭片與第二鰭片之間、第一鰭片與第三鰭片之間及第三鰭片與第四鰭片之間的隔離結構、設置在第一鰭片及第二鰭片上的第一源極/汲極特徵及設置在第三鰭片及第四鰭片上的第二源極/汲極特徵。隔離結構具有上升到隔離結構之其餘部分上的突出特徵,且突出特徵係設置在第一鰭片與第三鰭片之間。第一鰭片較靠近第三鰭片,而第二鰭片較遠離第三鰭片。第三鰭片較靠近第一鰭片,而第四鰭片較遠離第一鰭片。
在一些實施例中,半導體結構更包含設置在隔離結構、第一源極/汲極特徵、第二源極/汲極特徵及突出特徵上的介電層,且突出特徵延伸至介電層中。在一些實施例中,半導體結構更包含設置在突出特徵之頂表面及介電層之間的閘極間隙壁層。在一些實施例中,閘極間隙壁層之組成物不同於突出特徵之組成物。在一些實施例中,半導體結構更包含設置在介電層與第一源極/汲極特徵之間、介電層與第二源極/汲極特徵之間、介電層與隔離結構之間以及介電層與突出特徵的側壁之間的接觸蝕刻中止層。在一些實施例中,介電層包含氧化矽,接觸蝕刻中止層包含氮化矽,且閘極間隙壁層包含碳氮氧化矽。在一些實施例中,第一源極/汲極特徵包含矽及n型摻質,且第二源極/汲極特徵包含矽鍺及p型摻質。
在再一例示態樣中,本揭露係指出一種方法。方法包含接收工件,其係包含具有第一區域及第二區域的基材、在第一區域上且包含第一源極/汲極特徵的第一鰭片、在第二區域上且包含第二源極/汲極特徵的第二鰭片、在基材上的隔離特徵,以使第一鰭片之頂部部分及第二鰭片之頂部部分上升到隔離特徵之上。方法更包含沉積閘極間隙壁層在隔離特徵、第一源極/汲極區域及第二源極/汲極區域上;形成第一圖案罩幕在第二鰭片,其中相較於第二鰭片,第一圖案罩幕之邊緣較靠近第一鰭片;利用第一圖案罩幕做為蝕刻罩幕,來蝕刻第一區域及第一源極/汲極區域;形成第一源極/汲極特徵在第一源極/汲極區域上;形成第二圖案罩幕在第一源極/汲極特徵及第一鰭片上,其中相較於第一鰭片,第二圖案罩幕之邊緣較靠近第二鰭片;以及利用第二圖案罩幕做為蝕刻罩幕,來蝕刻第二區域,其中蝕刻第二區域自隔離特徵形成突出特徵,且突出特徵設置在第一鰭片與第二鰭片之間。
在一些實施例中,在蝕刻第二區域之後,閘極間隙壁層之部分設置在突出特徵上。在一些實施例中,方法更包含形成虛擬閘極堆疊在第一鰭片之第一通道區域及第二鰭片之第二通道區域上。形成閘極間隙壁層包含沉積閘極間隙壁層在虛擬閘極堆疊上。在一些實施例中,蝕刻第一區域使在第一區域內之隔離特徵的厚度減少10 nm至25 nm。在一些例示中,蝕刻第二區域使在第二區域內之隔離特徵的厚度減少10 nm至25 nm。
以上概述許多實施例的特徵,因此本領域具有通常知識者可更了解本揭露的態樣。本技術領域具有通常知識者應理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優點。本技術領域具有通常知識者也應了解與此均等的架構並沒有偏離本揭露的精神和範圍,且在不偏離本揭露的精神和範圍下可做出各種變化、替代和改動。
10:第一區域
20:第二區域
100:方法
102,104,106,108,110,112,114,116,118,120,122:方塊
200:工件
202:基材
203:第一鰭片
203SD:第一源極/汲極區域
204:第二鰭片
204SD:第二源極/汲極區域
206:隔離特徵
206T:表面
208:虛擬閘極堆疊
210:閘極間隙壁層
212:第一硬罩幕層
214:第一光阻層
220:第一源極/汲極特徵
222:第二硬罩幕層
224:第二光阻層
230:第二源極/汲極特徵
232:底部部分
234:接觸蝕刻中止層
236:層間介電層
240:脊部
2120:第一圖案罩幕
2220:第二圖案罩幕
2320:溝槽
2360:接頭
C-C':中心線
D1:第一深度
D2:第二深度
H:高度
L1:第一偏移量
L2:第二偏移量
L3:第三偏移量
S:間隙
W1:第一寬度
W2:第二寬度
X,Y,Z:方向
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法,許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。
[圖1]係繪示根據本揭露之一或多個態樣之在工件上形成不同導電形式的源極/汲極特徵的流程圖。
[圖2]至[圖26]係繪示根據本揭露之一或多個態樣之在根據圖1之方法的製程過程中的工件的剖面視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
10:第一區域
20:第二區域
200:工件
202:基材
203SD:第一源極/汲極區域
204SD:第二源極/汲極區域
206:隔離特徵
210:閘極間隙壁層
220:第一源極/汲極特徵
230:第二源極/汲極特徵
232:底部部分
234:接觸蝕刻中止層
236:層間介電層
240:脊部
H:高度
W1:第一寬度
X,Y,Z:方向
Claims (20)
- 一種半導體結構,包含: 一基材,包含一第一區域及一第二區域,其中該第二區域相鄰於該第一區域; 一第一鰭片,設置在該第一區域上; 一第二鰭片,設置在該第二區域上; 一第一源極/汲極特徵及一第二源極/汲極特徵,其中該第一源極/汲極特徵設置在該第一鰭片上,且該第二源極/汲極特徵設置在該第二鰭片上;以及 一隔離結構,設置在該第一鰭片及該第二鰭片之間,其中該隔離結構具有一突出特徵,該突出特徵上升到該隔離結構之其餘部分上,該突出特徵設置在該第一鰭片與該第二鰭片之間,且該突出特徵之一寬度係在該第一鰭片與該第二鰭片之間之一間隙的10%至30%。
- 如請求項1所述之半導體結構,其中該第一源極/汲極特徵包含矽及一n型摻質,且該第二源極/汲極特徵包含矽鍺及一p型摻質。
- 如請求項1所述之半導體結構,更包含: 一介電層,設置在該第一源極/汲極特徵、該第二源極/汲極特徵、該隔離結構及該突出特徵上。
- 如請求項3所述之半導體結構,更包含: 一閘極間隙壁層,設置在該突出特徵之一頂表面及該介電層之間。
- 如請求項4所述之半導體結構,更包含: 一接觸蝕刻中止層,設置在該介電層與該第一源極/汲極特徵之間、該介電層與該第二源極/汲極特徵之間、該介電層與該隔離結構之間以及該介電層與該突出特徵之複數個側壁之間。
- 如請求項5所述之半導體結構,其中該介電層包含氧化矽,該接觸蝕刻中止層包含氮化矽,且該閘極間隙壁層包含碳氮氧化矽。
- 如請求項1所述之半導體結構,其中該第一鰭片及該第二鰭片之間的該間隙為20 nm至100 nm。
- 如請求項7所述之半導體結構,其中該突出特徵的一高度為10 nm至25 nm,且該突出特徵的一寬度為該第一鰭片及該第二鰭片之間之該間隙的10%至30%。
- 一種半導體結構,包含: 一基材,包含一第一區域及一第二區域,其中該第二區域相鄰於該第一區域; 一第一鰭片及一第二鰭片,設置在該第一區域上; 一第三鰭片及一第四鰭片,設置在該第二區域上; 一隔離結構,設置在該第一鰭片及該第二鰭片之間、該第一鰭片及該第三鰭片之間及該第三鰭片及該第四鰭片之間, 一第一源極/汲極特徵,設置在該第一鰭片及該第二鰭片上;以及 一第二源極/汲極特徵,設置在該第三鰭片及該第四鰭片上, 其中該隔離結構包含一突出特徵,該突出特徵上升到該隔離結構之其餘部分上,該突出特徵設置在該第一鰭片及該第三鰭片之間, 該第一鰭片較靠近該第三鰭片,而該第二鰭片較遠離該第三鰭片, 該第三鰭片較靠近該第一鰭片,而該第四鰭片較遠離該第一鰭片。
- 如請求項9所述之半導體結構,更包含: 一介電層,設置在該隔離結構、該第一源極/汲極特徵、該第二源極/汲極特徵及該突出特徵上,其中該突出特徵延伸至該介電層中。
- 如請求項10所述之半導體結構,更包含: 一閘極間隙壁層,設置在該突出特徵之一頂表面及該介電層之間。
- 如請求項11所述之半導體結構,其中該閘極間隙壁層之一組成物不同於該突出特徵之一組成物。
- 如請求項11所述之半導體結構,更包含: 一接觸蝕刻中止層,設置在該介電層與該第一源極/汲極特徵之間、該介電層與該第二源極/汲極特徵之間、該介電層與該隔離結構之間以及該介電層與該突出特徵之複數個側壁之間。
- 如請求項13所述之半導體結構,其中該介電層包含氧化矽,該接觸蝕刻中止層包含氮化矽,且該閘極間隙壁層包含碳氮氧化矽。
- 如請求項10所述之半導體結構,其中該第一源極/汲極特徵包含矽及一n型摻質,且該第二源極/汲極特徵包含矽鍺及一p型摻質。
- 一種半導體結構的製造方法,包含: 接收一工件,其中該工件包含: 一基材,包含一第一區域及一第二區域; 一第一鰭片,在該第一區域上,其中該第一鰭片包含一第一源極/汲極區域; 一第二鰭片,在該第二區域上,其中該第二鰭片包含一第二源極/汲極區域;及 一隔離特徵,在該基材上,以使該第一鰭片之一頂部部分及該第二鰭片之一頂部部分上升到該隔離特徵之上; 沉積一閘極間隙壁層在該隔離特徵、該第一源極/汲極區域及該第二源極/汲極區域上; 形成一第一圖案罩幕在該第二鰭片上,其中相較於該第二鰭片,該第一圖案罩幕之一邊緣較靠近該第一鰭片; 利用該第一圖案罩幕做為一蝕刻罩幕,來蝕刻該第一區域及該第一源極/汲極區域; 形成一第一源極/汲極特徵在該第一源極/汲極區域上; 形成一第二圖案罩幕在該第一源極/汲極特徵及該第一鰭片上,其中相較於該第一鰭片,該第二圖案罩幕之一邊緣較靠近該第二鰭片;以及 利用該第二圖案罩幕做為一蝕刻罩幕,來蝕刻該第二區域,其中該蝕刻該第二區域自該隔離特徵形成一突出特徵,且該突出特徵設置在該第一鰭片及該第二鰭片之間。
- 如請求項16所述之半導體結構的製造方法,其中在該蝕刻該第二區域之後,該閘極間隙壁層之一部分設置在該突出特徵上。
- 如請求項16所述之半導體結構的製造方法,更包含: 形成一虛擬閘極堆疊在該第一鰭片之一第一通道區域及該第二鰭片之一第二通道區域上,其中該形成該閘極間隙壁層包含: 沉積該閘極間隙壁層在該虛擬閘極堆疊上。
- 如請求項16所述之半導體結構的製造方法,其中該蝕刻該第一區域使在該第一區域內之該隔離特徵之一厚度減少10 nm至25 nm。
- 如請求項16所述之半導體結構的製造方法,其中該蝕刻該第二區域使在該第二區域內之該隔離特徵之一厚度減少10 nm至25 nm。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163273736P | 2021-10-29 | 2021-10-29 | |
US63/273,736 | 2021-10-29 | ||
US17/745,996 | 2022-05-17 | ||
US17/745,996 US20230135084A1 (en) | 2021-10-29 | 2022-05-17 | Reduction of damages to source/drain features |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202322398A true TW202322398A (zh) | 2023-06-01 |
TWI847286B TWI847286B (zh) | 2024-07-01 |
Family
ID=85574862
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111136616A TWI847286B (zh) | 2021-10-29 | 2022-09-27 | 半導體結構及其製造方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20230135084A1 (zh) |
KR (1) | KR20230062349A (zh) |
CN (1) | CN115841983A (zh) |
DE (1) | DE102022122397A1 (zh) |
TW (1) | TWI847286B (zh) |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107968118B (zh) * | 2016-10-19 | 2020-10-09 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应管及其形成方法 |
US10714475B2 (en) * | 2017-11-27 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10930564B2 (en) * | 2018-08-31 | 2021-02-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal gate structure cutting process |
KR20210047408A (ko) * | 2019-10-21 | 2021-04-30 | 삼성전자주식회사 | 반도체 소자 및 이의 제조 방법 |
US11728223B2 (en) * | 2019-12-20 | 2023-08-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and methods of manufacture |
-
2022
- 2022-05-17 US US17/745,996 patent/US20230135084A1/en active Pending
- 2022-06-24 KR KR1020220077633A patent/KR20230062349A/ko not_active Application Discontinuation
- 2022-08-25 CN CN202211027983.9A patent/CN115841983A/zh active Pending
- 2022-09-05 DE DE102022122397.3A patent/DE102022122397A1/de active Pending
- 2022-09-27 TW TW111136616A patent/TWI847286B/zh active
Also Published As
Publication number | Publication date |
---|---|
DE102022122397A1 (de) | 2023-05-04 |
KR20230062349A (ko) | 2023-05-09 |
CN115841983A (zh) | 2023-03-24 |
TWI847286B (zh) | 2024-07-01 |
US20230135084A1 (en) | 2023-05-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20220238725A1 (en) | Self-Aligned Spacers For Multi-Gate Devices And Method Of Fabrication Thereof | |
TW201914025A (zh) | 半導體裝置與其製作方法 | |
US11908942B2 (en) | Transistors having nanostructures | |
US20220336461A1 (en) | Low Leakage Device | |
TWI786608B (zh) | 半導體裝置及其製造方法 | |
TW202205449A (zh) | 半導體裝置及其形成方法 | |
US11245036B1 (en) | Latch-up prevention | |
US11917803B2 (en) | Method for forming different types of devices | |
TWI793675B (zh) | 半導體裝置及其形成方法 | |
US20220367482A1 (en) | Source/Drain Feature Separation Structure | |
TW202228245A (zh) | 半導體結構 | |
TW202201495A (zh) | 積體電路裝置之製造方法 | |
TW202201558A (zh) | 製造半導體裝置的方法 | |
TW202429628A (zh) | 半導體結構及其形成方法 | |
US20240194767A1 (en) | Dielectric isolation structure for multi-gate transistors | |
TW202145570A (zh) | 半導體裝置 | |
TWI847286B (zh) | 半導體結構及其製造方法 | |
US12034063B2 (en) | Semiconductor devices and methods of manufacturing thereof | |
US11973128B2 (en) | Methods for forming multi-gate transistors | |
US20240339531A1 (en) | Channel width modulation | |
CN118412352A (zh) | 半导体器件、半导体结构及其形成方法 |