KR20210047408A - 반도체 소자 및 이의 제조 방법 - Google Patents

반도체 소자 및 이의 제조 방법 Download PDF

Info

Publication number
KR20210047408A
KR20210047408A KR1020190130689A KR20190130689A KR20210047408A KR 20210047408 A KR20210047408 A KR 20210047408A KR 1020190130689 A KR1020190130689 A KR 1020190130689A KR 20190130689 A KR20190130689 A KR 20190130689A KR 20210047408 A KR20210047408 A KR 20210047408A
Authority
KR
South Korea
Prior art keywords
active
patterns
pattern
device isolation
isolation layer
Prior art date
Application number
KR1020190130689A
Other languages
English (en)
Inventor
안규환
김성수
나채호
남웅식
노동현
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020190130689A priority Critical patent/KR20210047408A/ko
Priority to US16/903,015 priority patent/US11282921B2/en
Priority to CN202011122787.0A priority patent/CN112768449A/zh
Publication of KR20210047408A publication Critical patent/KR20210047408A/ko
Priority to US17/667,996 priority patent/US11735626B2/en
Priority to US18/350,187 priority patent/US12034042B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66015Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene
    • H01L29/66037Multistep manufacturing processes of devices having a semiconductor body comprising semiconducting carbon, e.g. diamond, diamond-like carbon, graphene the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66045Field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Abstract

본 발명은 반도체 소자에 관한 것으로, 더욱 상세하게는, 기판 상의 제1 활성 패턴 및 제2 활성 패턴, 상기 제1 및 제2 활성 패턴들은 제1 방향으로 서로 인접하고, 상기 제1 및 제2 활성 패턴들 사이에 제1 트렌치가 정의되며; 기판 상의 제3 활성 패턴 및 제4 활성 패턴, 상기 제3 및 제4 활성 패턴들은 상기 제1 방향으로 서로 인접하고, 상기 제3 및 제4 활성 패턴들 사이에 제2 트렌치가 정의되며; 상기 제1 트렌치를 채우는 제1 소자 분리막; 및 상기 제2 트렌치를 채우는 제2 소자 분리막을 포함하되, 상기 제2 트렌치의 폭은 상기 제1 트렌치의 폭보다 크고, 상기 제2 소자 분리막은, 그의 상면으로부터 돌출된 제1 돌출부 및 제2 돌출부를 포함할 수 있다.

Description

반도체 소자 및 이의 제조 방법{Semiconductor device and method of fabricating the same}
본 발명은 반도체 소자에 관한 것으로, 더욱 상세하게는 전계 효과 트랜지스터를 포함하는 반도체 소자 및 이의 제조 방법에 관한 것이다.
소형화, 다기능화 및/또는 낮은 제조 단가 등의 특성들로 인하여 반도체 소자는 전자 산업에서 중요한 요소로 각광 받고 있다. 반도체 소자들은 논리 데이터를 저장하는 반도체 기억 소자, 논리 데이터를 연산 처리하는 반도체 논리 소자, 및 기억 요소와 논리 요소를 포함하는 하이브리드(hybrid) 반도체 소자 등으로 구분될 수 있다. 전자 산업이 고도로 발전함에 따라, 반도체 소자의 특성들에 대한 요구가 점점 증가되고 있다. 예컨대, 반도체 소자에 대한 고 신뢰성, 고속화 및/또는 다기능화 등에 대하여 요구가 점점 증가되고 있다. 이러한 요구 특성들을 충족시키기 위하여 반도체 소자 내 구조들은 점점 복잡해지고 있으며, 또한, 반도체 소자는 점점 고집적화 되고 있다.
본 발명이 해결하고자 하는 과제는, 전기적 특성이 향상된 반도체 소자를 제공하는데 있다.
본 발명이 해결하고자 하는 과제는, 전기적 특성이 향상된 반도체 소자의 제조 방법을 제공하는데 있다.
본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재들로부터 당업자에게 명확하게 이해될 수 있을 것이다.
본 발명의 개념에 따른, 반도체 소자는, 기판 상의 제1 활성 패턴 및 제2 활성 패턴, 상기 제1 및 제2 활성 패턴들은 제1 방향으로 서로 인접하고, 상기 제1 및 제2 활성 패턴들 사이에 제1 트렌치가 정의되며; 기판 상의 제3 활성 패턴 및 제4 활성 패턴, 상기 제3 및 제4 활성 패턴들은 상기 제1 방향으로 서로 인접하고, 상기 제3 및 제4 활성 패턴들 사이에 제2 트렌치가 정의되며; 상기 제1 트렌치를 채우는 제1 소자 분리막; 및 상기 제2 트렌치를 채우는 제2 소자 분리막을 포함하되, 상기 제2 트렌치의 폭은 상기 제1 트렌치의 폭보다 크고, 상기 제2 소자 분리막은, 그의 상면으로부터 돌출된 제1 돌출부 및 제2 돌출부를 포함할 수 있다.
본 발명의 다른 개념에 따른, 반도체 소자는, 활성 영역을 포함하는 기판; 상기 기판 상에 제공되어 상기 활성 영역 상의 활성 패턴들을 정의하는 소자 분리막, 상기 활성 패턴들은 제1 방향으로 배열되고, 상기 활성 패턴들의 상부들에 제공된 소스/드레인 패턴들; 상기 활성 패턴들을 가로지르며 상기 제1 방향으로 연장되는 게이트 전극들, 상기 게이트 전극들은 상기 제1 방향에 교차하는 제2 방향으로 배열되고; 및 상기 소스/드레인 패턴들 및 상기 게이트 전극들을 덮는 층간 절연막을 포함하되, 상기 활성 패턴들은, 상기 제1 방향으로 서로 인접하는 제1 활성 패턴 및 제2 활성 패턴, 및 상기 제1 방향으로 서로 인접하는 제3 활성 패턴 및 제4 활성 패턴을 포함하고, 상기 소자 분리막은, 상기 제1 및 제2 활성 패턴들 사이의 제1 소자 분리막, 및 상기 제3 및 제4 활성 패턴들 사이의 제2 소자 분리막을 포함하며, 상기 제1 소자 분리막의 상기 제1 방향으로의 폭은, 상기 제2 소자 분리막의 상기 제1 방향으로의 폭보다 크고, 상기 제2 소자 분리막은, 그의 상면으로부터 돌출된 제1 돌출부 및 제2 돌출부를 포함할 수 있다.
본 발명의 또 다른 개념에 따른, 반도체 소자의 제조 방법은, 기판 상에 활성 패턴을 정의하는 제1 트렌치 및 제2 트렌치를 형성하는 것; 상기 제1 트렌치 및 상기 제2 트렌치 상에 제1 절연막을 형성하는 것; 상기 제1 절연막 상에 라이너막을 형성하는 것; 상기 라이너막 상에 제2 절연막을 형성하는 것; 및 상기 제2 절연막을 리세스하여, 상기 제1 트렌치 내에 제1 소자 분리막 및 상기 제2 트렌치 내에 제2 소자 분리막을 형성하는 것을 포함하되, 상기 제2 트렌치의 폭은 상기 제1 트렌치의 폭보다 크고, 상기 제2 소자 분리막은, 상기 라이너막이 잔류하여 형성된 제1 돌출부 및 제2 돌출부를 포함할 수 있다.
본 발명의 실시예들에 따른 반도체 소자는, 소자 분리막을 형성함에 있어 절연막 상부에 라이너막을 증착시킴으로써, 상대적으로 폭의 크기가 큰 소자 분리막에 대해서도 막질 강건화 능력(Wet etch resistivity)을 향상시킬 수 있다.
도 1은 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 보여주는 순서도이다.
도 2a 내지 도 2e는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 단계별로 도시한 단면도들이다.
도 3 및 도 5는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 평면도들이다.
도 4 및 도 6a는 각각 도 3 및 도 5의 A-A'선에 따른 단면도들이다.
도 6b는 도 5의 B-B'선에 따른 단면도들이다.
도 6c는 도 5의 C-C'선에 따른 단면도들이다.
도 7은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 8a 내지 도 8c는 각각 도 7의 A-A'선, B-B'선 및 C-C'선에 따른 단면도들이다.
도 9a 내지 도 9e는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 단계별로 도시한 단면도들이다.
도 10, 도 12, 도 14 및 도 16은 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 평면도들이다.
도 11a, 도 13a, 도 15a 및 도 17a는 각각 도 10, 도 12, 도 14 및 도 16의 A-A'선에 따른 단면도들이다.
도 11b, 도 13b, 도 15b, 도 17b는 각각 도 10, 도 12, 도 14 및 도 16 의 B-B'선에 따른 단면도들이다.
도 13c, 도 15c 및 도 17c는 각각 도 12, 도 14 및 도 16의 C-C'선에 따른 단면도들이다.
도 18은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 19a 내지 도 19c는 각각 도 18의 A-A'선, B-B'선 및 C-C'선에 따른 단면도들이다.
도 20은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다.
도 21a 내지 도 21b는 각각 도 20의 A-A'선 및 B-B'선에 따른 단면도들이다.
도 1은 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 보여주는 순서도이다. 도 2a 내지 도 2e는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 단계별로 도시한 단면도들이다.
도 1 및 도 2a를 참조하면, 기판(100)이 제공될 수 있다. 기판(100)을 패터닝하여, 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)이 형성될 수 있다. 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2) 사이에 제1 트렌치(TR1)가 형성될 수 있고, 제3 활성 패턴(AP3) 및 제4 활성 패턴(AP4) 사이에 제2 트렌치(TR2)가 형성될 수 있다(S10). 제2 트렌치(TR2)의 제1 방향(D1)으로의 폭(W2)은 제1 트렌치(TR1)의 제1 방향(D1)으로의 폭(W1)보다 클 수 있다.
도 1 및 도 2b를 참조하면, 제1 트렌치(TR1) 및 제2 트렌치(TR2) 상에 제1 절연막(IL1)이 형성될 수 있다(S20). 제1 절연막(IL1)은 실리콘 산화물과 같은 절연 물질을 포함할 수 있다. 제1 절연막(IL1)은 화학적 기상 증착(CVD) 공정에 의해 형성될 수 있다.
도 1 및 도 2c를 참조하면, 제1 절연막(IL1) 상에 라이너막(LIN)이 형성될 수 있다(S30). 라이너막(LIN)은 다결정(Polycrystalline) 실리콘 또는 비정질(Amorphous) 실리콘을 포함할 수 있다. 라이너막(LIN)은 화학적 기상 증착(CVD) 공정에 의해 형성될 수 있다.
도 1 및 도 2d를 참조하면, 라이너막(LIN) 상에 제2 절연막(IL2)이 형성될 수 있다(S40). 제2 절연막(IL2)은 실리콘 산화물과 같은 절연 물질을 포함할 수 있다. 제2 절연막(IL2)은 화학적 기상 증착(CVD) 공정에 의해 형성될 수 있다.
도 1 및 도 2e를 참조하면, 제2 절연막(IL2)을 형성한 후, 기판(100)에 대해 열처리 공정이 수행될 수 있다(S50). 예를 들어, 상기 열처리 공정으로는, 급속 열처리 공정(RTA; Rapid Thermal Annealing)이 수행될 수 있다.
상기 열처리 공정을 수행한 후, 제2 절연막(IL2) 상에 식각 공정을 수행하여 제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2)을 형성할 수 있다(S60). 제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2)은 이방성 및/또는 등방성 식각에 의해 형성될 수 있다. 제1 소자 분리막(ST1)은 제1 트렌치(TR1) 내에 형성될 수 있으며, 제2 소자 분리막(ST2)은 제2 트렌치(TR2) 내에 형성될 수 있다. 다시 말하면, 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2) 사이에 제1 소자 분리막(ST1)이 형성될 수 있고, 제3 활성 패턴(AP3) 및 제4 활성 패턴(AP4) 사이에 제2 소자 분리막(ST2)이 형성될 수 있다. 제2 소자 분리막(ST2)의 제1 방향(D1)으로의 폭(T2)은, 제1 소자 분리막(ST1)의 제1 방향(D1)으로의 폭(T1)보다 클 수 있다.
예를 들어, 제1 소자 분리막(ST1)의 종횡비는 제2 소자 분리막(ST2)의 종횡비보다 클 수 있다. 일 예로, 제1 소자 분리막(ST1)의 종횡비는 25 내지 100일 수 있고, 제2 소자 분리막(ST2)의 종횡비는 1 내지 20일 수 있다. 여기서, 제1 소자 분리막(ST1)의 종횡비는, 제1 소자 분리막(ST1)의 제1 방향(D1)으로의 최대 폭에 대한 제1 소자 분리막(ST1)의 상면에서 그의 바닥면까지의 거리의 비일 수 있다. 제2 소자 분리막(ST2)의 종횡비는, 제2 소자 분리막(ST2)의 제1 방향(D1)으로의 최대 폭에 대한 제2 소자 분리막(ST2)의 상면에서 그의 바닥면까지의 거리의 비일 수 있다.
도 2e에 도시된 바와 같이, 식각 공정이 수행됨에 따라, 제1 절연막(IL1), 라이너막(LIN) 및 제2 절연막(IL2)의 경계가 사라질 수 있다. 구체적으로, 라이너막(LIN)이 다결정(Polycrystalline) 실리콘 또는 비정질(Amorphous) 실리콘을 포함하는 경우, 식각 공정이 진행됨에 따라 상기 다결정 실리콘 또는 비정질 실리콘이 산화되어, 실리콘 산화물을 형성할 수 있다.
제2 소자 분리막(ST2)은 그의 상면으로부터 돌출된 제1 돌출부(OH1) 및 제2 돌출부(OH2)를 포함할 수 있다. 제1 돌출부(OH1) 및 제2 돌출부(OH2)는 라이너막(LIN)으로부터 형성될 수 있다. 구체적으로, 라이너막(LIN)에 포함된 다결정 실리콘 또는 비정질 실리콘이 산화 반응을 수행하는 경우, 제1 절연막 및 제2 절연막에 포함되는 실리콘 산화물에 비해 높은 순도를 갖는 실리콘 산화물이 형성될 수 있다. 따라서, 제1 절연막(IL1) 및 제2 절연막(IL2)에 비해 높은 식각 내성을 확보할 수 있으며, 이에 라이너막(LIN)의 일부가 잔류하여 제1 돌출부(OH1) 및 제2 돌출부(OH2)가 형성될 수 있다.
예를 들어, 제3 활성 패턴(AP3)으로부터 제1 돌출부(OH1) 사이의 거리(L1)는, 제4 활성 패턴(AP4)으로부터 제2 돌출부(OH2) 사이의 거리(L2)와 실질적으로 동일할 수 있다.
도 3 및 도 5는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 평면도들이다. 도 4 및 도 6a는 각각 도 3 및 도 5의 A-A'선에 따른 단면도들이다. 도 6b는 도 5의 B-B'선에 따른 단면도들이다. 도 6c는 도 5 의 C-C'선에 따른 단면도들이다. 앞서 도 1 및 도 2a 내지 도 2e를 참조하여 설명한 것과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.
도 3 및 도 4를 참조하면, 활성 영역(AR)을 포함하는 기판(100)이 제공될 수 있다. 기판(100)을 패터닝하여, 활성 영역(AR) 상에 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)이 형성될 수 있다. 서로 인접하는 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2) 사이에 제1 트렌치(TR1)가 형성될 수 있고, 서로 인접하는 제3 활성 패턴(AP3) 및 제4 활성 패턴(AP4) 사이에 제2 트렌치(TR2)가 형성될 수 있다. 제1 트렌치(TR1) 및 제2 트렌치(TR2)의 깊이는 실질적으로 동일할 수 있다.
제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2) 각각은 제1 트렌치(TR1) 및 제2 트렌치(TR2) 각각을 채울 수 있다. 제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2)은 앞서, 도 2a 내지 도 2e를 참조하여 설명한 것과 동일한 방법으로 형성될 수 있다.
도 5 및 도 6a 내지 도 6c를 참조하면, 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)을 가로지르는 희생 패턴들(PP)이 형성될 수 있다. 희생 패턴들(PP)은 제1 방향(D1)으로 연장되는 라인 형태(line shape) 또는 바 형태(bar shape)로 형성될 수 있다. 구체적으로, 희생 패턴들(PP)을 형성하는 것은, 기판(100)의 전면 상에 희생막을 형성하는 것, 상기 희생막 상에 하드 마스크 패턴들(MA)을 형성하는 것, 및 하드 마스크 패턴들(MA)을 식각 마스크로 상기 희생막을 패터닝하는 것을 포함할 수 있다. 상기 희생막은 다결정 실리콘을 포함할 수 있다.
희생 패턴들(PP) 각각의 측벽들 상에 게이트 스페이서들(GS)이 형성될 수 있다. 게이트 스페이서들(GS)은, 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4) 각각의 측벽들 상에도 형성될 수 있다. 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4) 각각의 상기 측벽들은, 소자 분리막(ST) 및 희생 패턴들(PP)에 의해 덮이지 않고 노출된 부분일 수 있다.
게이트 스페이서들(GS)을 형성하는 것은, 기판(100)의 전면 상에 게이트 스페이서막을 콘포멀하게 형성하는 것, 및 상기 게이트 스페이서막을 이방성 식각하는 것을 포함할 수 있다. 상기 게이트 스페이서막은 SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다. 다른 예로, 상기 게이트 스페이서막은 SiCN, SiCON 및 SiN 중 적어도 두 개를 포함하는 다중 막(multi-layer)일 수 있다.
도 7은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 8a 내지 도 8c는 각각 도 7의 A-A'선, B-B'선 및 C-C'선에 따른 단면도들이다.
도 7 및 도 8a 내지 도 8c를 참조하면, 기판(100)은 활성 영역(AR)을 포함할 수 있다. 본 발명의 일 실시예로, 활성 영역(AR)은 PMOSFET 영역 또는 NMOSFET 영역일 수 있다.
활성 영역(AR) 상에 각각 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)이 제공될 수 있다. 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)은 기판(100)을 패터닝하여 형성될 수 있다. 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)은 기판(100)의 일부로써, 수직하게 돌출된 부분들일 수 있다. 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)은 제2 방향(D2)으로 서로 평행하게 연장될 수 있다. 서로 인접하는 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2) 사이에 제1 트렌치(TR1)가 형성될 수 있고, 서로 인접하는 제3 활성 패턴(AP3) 및 제4 활성 패턴(AP4) 사이에 제2 트렌치(TR2)가 형성될 수 있다.
제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2) 각각은 제1 트렌치(TR1) 및 제2 트렌치(TR2) 각각을 채울 수 있다. 제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2)은 앞서, 도 2a 내지 도 2e를 참조하여 설명한 것과 동일한 방법으로 형성될 수 있다. 제2 소자 분리막(ST2)의 폭(T2)은 제1 소자 분리막(ST1)의 폭(T1)보다 클 수 있다. 제2 소자 분리막(ST2)은 그의 상면으로부터 돌출된 제1 돌출부(OH1) 및 제2 돌출부(OH2)를 포함할 수 있다. 예를 들어, 제3 활성 패턴(AP3)으로부터 제1 돌출부(OH1) 사이의 거리(L1)는, 제4 활성 패턴(AP4)으로부터 제2 돌출부(OH2) 사이의 거리(L2)와 실질적으로 동일할 수 있다.
제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)의 상부는 제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2) 위로 수직하게 돌출될 수 있다. 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)의 상부들 각각은 핀(Fin) 형태를 가질 수 있다. 제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2)은 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)의 상부들을 덮지 않을 수 있다. 제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2)은 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)의 하부 측벽들을 덮을 수 있다.
제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)의 상부들에 소스/드레인 패턴들(SD)이 제공될 수 있다. 소스/드레인 패턴들(SD)은 p형 또는 n형의 도전형 불순물 영역들일 수 있다. 한 쌍의 소스/드레인 패턴들(SD)사이에 채널 패턴(CH)이 개재될 수 있다.
소스/드레인 패턴들(SD)은 선택적 에피택시얼 성장 공정으로 형성된 에피택시얼 패턴들일 수 있다. 소스/드레인 패턴들이 형성됨에 따라, 소스/드레인 패턴들(SD) 사이에 채널 패턴(CH)이 정의될 수 있다. 일 예로, 상기 선택적 에피택시얼 성장 공정은 화학적 기상 증착(CVD) 공정 또는 분자 빔 에피택시(Molecular Beam Epitaxy: MBE) 공정을 포함할 수 있다.
일 예로, 소스/드레인 패턴들(SD)의 상면들은 채널 패턴들(CH)의 상면들과 공면을 이룰 수 있다. 다른 예로, 소스/드레인 패턴들(SD)의 상면들은 채널 패턴들(CH)의 상면들보다 더 높을 수 있다.
소스/드레인 패턴(SD)은 기판(100)의 제1 반도체 원소의 격자 상수보다 큰 격자 상수를 갖는 제2 반도체 원소를 포함할 수 있다. 일 예로, 상기 제1 반도체 원소는 실리콘(Si)일 수 있고, 상기 제2 반도체 원소는 게르마늄(Ge)일 수 있다. 소스/드레인 패턴(SD)은 다층의 반도체 층들로 형성될 수 있다. 소스/드레인 패턴들(SD)을 형성하는 것은, 순차적으로 형성된 반도체 층들을 형성하는 것을 포함할 수 있다. 일 예로, 상기 반도체 층들은 버퍼층, 메인층, 및 캐핑층을 구성할 수 있다.
제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)을 가로지르며 제1 방향(D1)으로 연장되는 게이트 전극들(GE)이 제공될 수 있다. 게이트 전극들(GE)은 제2 방향(D2)을 따라 배열될 수 있다. 게이트 전극들(GE)은 채널 패턴들(CH)과 수직적으로 중첩될 수 있다.
게이트 전극들(GE) 각각의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 배치될 수 있다. 게이트 스페이서들(GS)은 게이트 전극들(GE)을 따라 제1 방향(D1)으로 연장될 수 있다. 게이트 스페이서들(GS)의 상면들은 게이트 전극들(GE)의 상면들보다 높을 수 있다. 게이트 스페이서들(GS)의 상면들은 후술할 제1 층간 절연막(110)의 상면과 공면을 이룰 수 있다. 게이트 스페이서들(GS)은 SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다. 다른 예로, 게이트 스페이서들(GS)은 SiCN, SiCON 및 SiN 중 적어도 두 개로 이루어진 다중 막(multi-layer)을 포함할 수 있다.
각각의 게이트 전극들(GE) 상에 게이트 캐핑 패턴(GP)이 제공될 수 있다. 게이트 캐핑 패턴(GP)은 게이트 전극(GE)을 따라 제1 방향(D1)으로 연장될 수 있다. 게이트 캐핑 패턴(GP)은 후술하는 제1 및 제2 층간 절연막들(110, 120)에 대하여 식각 선택성이 있는 물질을 포함할 수 있다. 구체적으로, 게이트 캐핑 패턴들(GP)은 SiON, SiCN, SiCON 및 SiN 중 적어도 하나를 포함할 수 있다.
게이트 전극(GE)과 활성 패턴(AP) 사이에 게이트 유전 패턴(GI)이 개재될 수 있다. 게이트 유전 패턴(GI)은, 그 위의 게이트 전극(GE)의 바닥면을 따라 연장될 수 있다. 일 예로, 게이트 유전 패턴(GI)은, 채널 패턴(CH)의 상면 및 측벽을 덮을 수 있다. 게이트 유전 패턴(GI)은, 게이트 전극(GE) 아래의 소자 분리막(ST)의 상면을 덮을 수 있다. 게이트 유전 패턴(GI)은 제2 소자 분리막(ST2) 상의 제1 돌출부(OH1) 및 제2 돌출부(OH2)의 상면을 덮을 수 있다.
본 발명의 일 실시예로, 게이트 유전 패턴(GI)은 실리콘 산화물보다 유전상수가 높은 고유전율 물질을 포함할 수 있다. 일 예로, 상기 고유전율 물질은 하프늄 산화물, 하프늄 실리콘 산화물, 하프늄 지르코늄 산화물, 하프늄 탄탈 산화물, 란탄 산화물, 지르코늄 산화물, 지르코늄 실리콘 산화물, 탄탈 산화물, 티타늄 산화물, 바륨 스트론튬 티타늄 산화물, 바륨 티타늄 산화물, 스트론튬 티타늄 산화물, 리튬 산화물, 알루미늄 산화물, 납 스칸듐 탄탈 산화물, 및 납 아연 니오브산염 중 적어도 하나를 포함할 수 있다.
게이트 전극(GE)은, 제1 금속 패턴, 및 상기 제1 금속 패턴 상의 제2 금속 패턴을 포함할 수 있다. 제1 금속 패턴은 게이트 유전 패턴(GI) 상에 제공되어, 채널 패턴들(CH)에 인접할 수 있다. 제1 금속 패턴은 트랜지스터의 문턱 전압을 조절하는 일함수 금속을 포함할 수 있다. 제1 금속 패턴의 두께 및 조성을 조절하여, 목적하는 문턱 전압을 달성할 수 있다.
제1 금속 패턴은 금속 질화막을 포함할 수 있다. 예를 들어, 제1 금속 패턴은 티타늄(Ti), 탄탈(Ta), 알루미늄(Al), 텅스텐(W) 및 몰리브덴(Mo)으로 이루어진 군에서 선택된 적어도 하나의 금속 및 질소(N)를 포함할 수 있다. 제1 금속 패턴은 탄소(C)를 더 포함할 수 있다. 제1 금속 패턴은, 적층된 복수개의 일함수 금속막들을 포함할 수 있다.
제2 금속 패턴은 제1 금속 패턴에 비해 저항이 낮은 금속을 포함할 수 있다. 예를 들어, 제2 금속 패턴은 텅스텐(W), 알루미늄(Al), 티타늄(Ti) 및 탄탈(Ta)로 이루어진 군에서 선택된 적어도 하나의 금속을 포함할 수 있다.
기판(100) 상에 제1 층간 절연막(110)이 제공될 수 있다. 제1 층간 절연막(110)은 게이트 스페이서들(GS) 및 소스/드레인 패턴들(SD)을 덮을 수 있다. 제1 층간 절연막(110)의 상면은, 게이트 캐핑 패턴들(GP)의 상면들 및 게이트 스페이서들(GS)의 상면들과 실질적으로 공면을 이룰 수 있다. 제1 층간 절연막(110) 상에, 게이트 캐핑 패턴들(GP)을 덮는 제2 층간 절연막(120)이 제공될 수 있다.
제1 및 제2 층간 절연막들(110, 120)을 관통하여 제1 및 제2 소스/드레인 패턴들(SD1, SD2)과 각각 전기적으로 연결되는 활성 콘택들(AC)이 제공될 수 있다. 각각의 활성 콘택들(AC)은, 한 쌍의 게이트 전극들(GE) 사이에 제공될 수 있다.
활성 콘택(AC)은 자기 정렬된 콘택(self-aligned conatact)일 수 있다. 다시 말하면, 활성 콘택(AC)은 게이트 캐핑 패턴(GP) 및 게이트 스페이서(GS)를 이용하여 자기 정렬적으로 형성될 수 있다. 예를 들어, 활성 콘택(AC)은 게이트 스페이서(GS)의 측벽의 적어도 일부를 덮을 수 있다. 도시되진 않았지만, 활성 콘택(AC)은, 게이트 캐핑 패턴(GP)의 상면의 일부를 덮을 수 있다.
활성 콘택(AC)과 소스/드레인 패턴(SD) 사이에 실리사이드 패턴(SC)이 개재될 수 있다. 활성 콘택(AC)은, 실리사이드 패턴(SC)을 통해 소스/드레인 패턴(SD)과 전기적으로 연결될 수 있다. 실리사이드 패턴(SC)은 금속-실리사이드(Metal-Silicide)를 포함할 수 있으며, 일 예로 티타늄-실리사이드, 탄탈륨-실리사이드, 텅스텐-실리사이드, 니켈-실리사이드, 및 코발트-실리사이드 중 적어도 하나를 포함할 수 있다.
활성 콘택(AC)은, 도전 패턴(FM) 및 도전 패턴(FM)을 감싸는 배리어 패턴(BM)을 포함할 수 있다. 예를 들어, 도전 패턴(FM)은 알루미늄, 구리, 텅스텐, 몰리브데늄 및 코발트 중 적어도 하나의 금속을 포함할 수 있다. 배리어 패턴(BM)은 도전 패턴(FM)의 측벽들 및 바닥면을 덮을 수 있다. 배리어 패턴(BM)은 금속막/금속 질화막을 포함할 수 있다. 상기 금속막은 티타늄, 탄탈륨, 텅스텐, 니켈, 코발트 및 백금 중 적어도 하나를 포함할 수 있다. 상기 금속 질화막은 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 텅스텐 질화물(WN), 니켈 질화물(NiN), 코발트 질화물(CoN) 및 백금 질화물(PtN) 중 적어도 하나를 포함할 수 있다.
도 8b를 다시 참조하면, 게이트 전극(GE)은, 채널 패턴들(CH) 각각의 상면 및 양 측벽들을 둘러쌀 수 있다. 다시 말하면, 본 실시예에 따른 트랜지스터는, 게이트 전극(GE)이 채널(CH)을 3차원적으로 둘러싸는 3차원 전계 효과 트랜지스터(예를 들어, FinFET)일 수 있다.
도 9a 내지 도 9e는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 단계별로 도시한 단면도들이다. 앞서, 도 1 및 도 2a 내지 도 2e를 참조하여 설명한 제조 방법과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.
도 9a 내지 도 9e를 참조하면, 기판(100)의 전면 상에 희생층들(SAC) 및 반도체층들(SEL)이 교대로 반복하여 적층될 수 있다. 반도체층들(SEL)은 3회 반복 적층되는 것으로 도시되었으나, 이에 제한되는 것은 아니다. 일 예로, 희생층들(SAC)은 반도체층들(SEL)에 대하여 식각 선택성을 갖는 물질을 포함할 수 있다. 즉, 희생층들(SAC)을 식각하는 공정에서, 반도체층들(SEL)은 실질적으로 식각되지 않을 수 있는 물질을 포함할 수 있다. 일 예로, 희생층들(SAC)은 실리콘-게르마늄(SiGe) 또는 게르마늄(Ge)을 포함할 수 있고, 반도체층들(SEL)은 실리콘(Si)을 포함할 수 있다.
희생층들(SAC) 및 반도체층들(SEL)을 패터닝하여, 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)이 형성될 수 있다. 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2) 사이에 제1 트렌치(TR1)가 형성될 수 있고, 제3 활성 패턴(AP3) 및 제4 활성 패턴(AP4) 사이에 제2 트렌치(TR2)가 형성될 수 있다. 앞서 도 2a 내지 도 2e를 참조하여 설명한 바와 같이, 제1 트렌치(TR1) 및 제2 트렌치(TR2) 상에, 제1 절연막(IL1), 라이너막(LIN), 제2 절연막(IL2)을 차례로 증착하고, 식각함으로써 제1 소자 분리막(ST1) 및 제2 소자 분리막(ST2)을 형성할 수 있다.
도 10, 도 12, 도 14 및 도 16은 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 평면도들이다. 도 11a, 도 13a, 도 15a 및 도 17a는 각각 도 10, 도 12, 도 14 및 도 16의 A-A'선에 따른 단면도들이다. 도 11b, 도 13b, 도 15b, 도 17b는 각각 도 10, 도 12, 도 14 및 도 16 의 B-B'선에 따른 단면도들이다. 도 13c, 도 15c 및 도 17c는 각각 도 12, 도 14 및 도 16의 C-C'선에 따른 단면도들이다. 앞서 도 3 내지 도 9e를 참조하여 설명한 것과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.
도 10, 도 11a 및 도 11b를 참조하면, 희생층들(SAC) 및 반도체층들(SEL)을 패터닝하여, 예비 패턴들(PAP)이 기판(100)의 활성 영역(AR) 상에 형성될 수 있다. 상기 패터닝 공정 동안, 기판(100)의 상부가 식각되어 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)을 정의하는 제1 트렌치(TR1) 및 제2 트렌치(TR2)가 형성될 수 있다.
예비 패턴(PAP)은 활성 패턴(AP) 상에 배치될 수 있다. 예비 패턴(PAP)은 활성 패턴(AP)과 수직적으로 중첩될 수 있다. 다시 말하면, 예비 패턴(PAP)의 평면적 형태는 활성 패턴(AP)의 평면적 형태와 실질적으로 동일할 수 있다. 예비 패턴(PAP) 및 활성 패턴(AP)은 제2 방향(D2)으로 연장되는 라인 형태 또는 바 형태로 형성될 수 있다.
도 12 및 도 13a 내지 도 13c를 참조하면, 예비 패턴들(PAP)을 가로지르는 희생 패턴(PP)이 형성될 수 있다. 희생 패턴(PP)은 제1 방향(D1)으로 연장되는 라인 형태 또는 바 형태로 형성될 수 있다. 희생 패턴(PP)의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 형성될 수 있다
도 14 및 도 15a 내지 도 15c를 참조하면, 마스크 패턴들(MP) 및 게이트 스페이서들(GS)을 식각 마스크로 예비 패턴(PAP)을 식각하여, 채널 패턴(CH)이 형성될 수 있다. 예비 패턴(PAP)의 반도체층들(SEL)이 패터닝되어, 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)이 형성될 수 있다. 채널 패턴(CH)은 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)을 포함할 수 있다.
예비 패턴(PAP)이 식각되어, 채널 패턴(CH)의 양 측에 한 쌍의 리세스들이 각각 형성될 수 있다. 리세스들을 채우는 소스/드레인 패턴들(SD)이 형성될 수 있다. 소스/드레인 패턴들(SD)을 형성하는 것은, 활성 패턴(AP) 및 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)을 씨드층으로 선택적 에피택시얼 공정을 수행하는 것을 포함할 수 있다.
도 16 및 도 17a 내지 도 17c를 참조하면, 기판(100)의 상에 제1 층간 절연막(110)이 형성될 수 있다. 이어서, 희생 패턴(PP)의 상면이 노출될 때까지 제1 층간 절연막(110)을 평탄화하는 공정이 수행될 수 있다.
평탄화 공정에 의해 노출된 희생 패턴(PP)이 선택적으로 제거될 수 있다. 희생 패턴(PP)이 제거됨에 따라, 인접하는 한 쌍의 게이트 스페이서들(GS) 사이에 빈 공간이 형성될 수 있다. 상기 빈 공간은, 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3) 및 희생층들(SAC)을 노출시킬 수 있다.
상기 빈 공간에 의해 노출된 희생층들(SAC)이 선택적으로 제거될 수 있다. 일 예로, 희생층들(SAC)이 실리콘-게르마늄(SiGe)을 포함하고, 제1 내지 제3 반도체 패턴들(SP1, SP2, SP3)이 실리콘(Si)을 포함하는 경우, 선택적 식각 공정은 과초산을 포함하는 식각액을 사용하여 수행될 수 있다. 상기 식각액은 불산(HF) 수용액 및 탈이온수(deionized water)를 더 포함할 수 있다.
도 18은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 19a 내지 도 19c는 각각 도 18의 A-A'선, B-B'선 및 C-C'선에 따른 단면도들이다. 앞서 도 7 및 도 8a 내지 도 8c를 참조하여 설명한 것과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.
도 18 및 도 19a 내지 도 19c를 참조하면, 활성 영역(AR)을 포함하는 기판(100)이 제공될 수 있다. 기판(100)을 패터닝하여, 활성 영역(AR) 상에 제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)이 형성될 수 있다. 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP2) 사이에 제1 소자 분리막(ST1)이 형성될 수 있고, 제3 활성 패턴(AP3) 및 제4 활성 패턴(AP4) 사이에 제2 소자 분리막(ST2)이 형성될 수 있다. 제2 소자 분리막(ST2)의 폭(T2)은 제1 소자 분리막(ST1)의 폭(T1)보다 클 수 있다. 제2 소자 분리막(ST2)은 그의 상면으로부터 돌출된 제1 돌출부(OH1) 및 제2 돌출부(OH2)를 포함할 수 있다.
제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)은, 수직적으로 적층된 채널 패턴들(CH)을 포함할 수 있다. 적층된 채널 패턴들(CH)은, 제3 방향(D3)으로 서로 이격될 수 있다. 적층된 채널 패턴들(CH)은, 서로 수직적으로 중첩될 수 있다. 채널 패턴들(CH)은 실리콘(Si), 게르마늄(Ge) 및 실리콘-게르마늄(SiGe) 중 적어도 하나를 포함할 수 있다.
제1 내지 제4 활성 패턴들(AP1, AP2, AP3, AP4)은 소스/드레인 패턴들(SD)을 더 포함할 수 있다. 서로 인접하는 소스/드레인 패턴들(SD) 사이에, 적층된 채널 패턴들(CH)이 개재될 수 있다. 적층된 채널 패턴들(CH)은, 서로 인접하는 한 쌍의 소스/드레인 패턴들(SD)을 연결할 수 있다.
채널 패턴들(CH)을 가로지르며 제1 방향(D1)으로 연장되는 게이트 전극들(GE)이 제공될 수 있다. 게이트 전극(GE)은 채널 패턴들(CH)과 수직적으로 중첩될 수 있다. 게이트 전극(GE)의 양 측벽들 상에 한 쌍의 게이트 스페이서들(GS)이 배치될 수 있다. 게이트 전극(GE) 상에 게이트 캐핑 패턴(GP)이 제공될 수 있다.
각각의 채널 패턴들(CH)과 게이트 전극(GE) 사이에 게이트 유전 패턴(GI)이 제공될 수 있다. 게이트 유전 패턴(GI)은 각각의 채널 패턴들(CH)을 둘러쌀 수 있다. 게이트 유전 패턴(GI)은 제2 소자 분리막(ST2) 상의 제1 돌출부(OH1) 및 제2 돌출부(OH2)의 상면을 덮을 수 있다.
기판(100)의 전면 상에 제1 층간 절연막(110) 및 제2 층간 절연막(120)이 제공될 수 있다. 제1 및 제2 층간 절연막들(110, 120)을 관통하여 제1 및 제2 소스/드레인 패턴들(SD1, SD2)에 각각 연결되는 활성 콘택들(AC)이 제공될 수 있다.
게이트 전극(GE)은, 각각의 채널 패턴들(CH)을 둘러쌀 수 있다(도 19b 참조). 게이트 전극(GE)은, 채널 패턴(CH)의 상면, 적어도 하나의 측벽, 및 바닥면 상에 제공될 수 있다. 다시 말하면, 게이트 전극(GE)은 채널 패턴들(CH) 각각의 상면, 바닥면 및 양 측벽들을 둘러쌀 수 있다. 본 실시예에 따른 트랜지스터는, 게이트 전극(GE)이 채널(CH)을 3차원적으로 둘러싸는 3차원 전계 효과 트랜지스터(예를 들어, MBCFET)일 수 있다.
도 20은 본 발명의 실시예들에 따른 반도체 소자를 설명하기 위한 평면도이다. 도 21a 내지 도 21b는 각각 도 20의 A-A'선 및 B-B'선에 따른 단면도들이다. 앞서 도 18 및 도 19a 내지 도 19c를 참조하여 설명한 것과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.
도 20, 도 21a 내지 도 21b를 참조하면, 제1 소자 분리막(ST1)에 인접하는 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP) 각각의 폭의 크기(A1)는 서로 동일할 수 있다. 제2 소자 분리막(ST2)에 인접하는 제3 활성 패턴(AP1) 및 제4 활성 패턴(AP) 각각의 폭의 크기(A2)는 서로 동일할 수 있다. 예를 들어, 제3 활성 패턴(AP1) 및 제4 활성 패턴(AP) 각각의 폭의 크기(A2)는 제1 활성 패턴(AP1) 및 제2 활성 패턴(AP) 각각의 폭의 크기(A1)보다 클 수 있다.
이상, 첨부된 도면들을 참조하여 본 발명의 실시예들을 설명하였지만, 본 발명은 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수도 있다. 그러므로 이상에서 기술한 실시예들에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야 한다.

Claims (10)

  1. 기판 상의 제1 활성 패턴 및 제2 활성 패턴, 상기 제1 및 제2 활성 패턴들은 제1 방향으로 서로 인접하고, 상기 제1 및 제2 활성 패턴들 사이에 제1 트렌치가 정의되며;
    기판 상의 제3 활성 패턴 및 제4 활성 패턴, 상기 제3 및 제4 활성 패턴들은 상기 제1 방향으로 서로 인접하고, 상기 제3 및 제4 활성 패턴들 사이에 제2 트렌치가 정의되며;
    상기 제1 트렌치를 채우는 제1 소자 분리막; 및
    상기 제2 트렌치를 채우는 제2 소자 분리막을 포함하되,
    상기 제2 트렌치의 폭은 상기 제1 트렌치의 폭보다 크고,
    상기 제2 소자 분리막은, 그의 상면으로부터 돌출된 제1 돌출부 및 제2 돌출부를 포함하는 반도체 소자.
  2. 제1항에 있어서,
    상기 제3 활성 패턴으로부터 상기 제1 돌출부 사이의 거리는, 상기 제4 활성 패턴으로부터 상기 제2 돌출부 사이의 거리와 실질적으로 동일한 반도체 소자.
  3. 제1항에 있어서,
    상기 제1 소자 분리막의 종횡비는 25 내지 100인 반도체 소자.
  4. 제1항에 있어서,
    상기 제2 소자 분리막의 종횡비는 1 내지 20인 반도체 소자.
  5. 제1항에 있어서,
    상기 제3 활성 패턴 및 상기 제4 활성 패턴 각각의 폭은,
    상기 제1 활성 패턴 및 상기 제2 활성 패턴 각각의 폭보다 큰 반도체 소자.
  6. 제1항에 있어서,
    상기 활성 패턴 상부에 제공된 소스/드레인 패턴; 및
    상기 활성 패턴들을 가로지르는 게이트 전극들을 더 포함하는 반도체 소자.
  7. 제6항에 있어서,
    상기 활성 패턴들 각각의 상부는 소자 분리막 위로 수직하게 돌출된 반도체 소자.
  8. 제6항에 있어서,
    상기 활성 패턴은, 수직적으로 적층된 채널 패턴들을 포함하고,
    상기 게이트 전극들은 상기 채널 패턴들 각각의 상면, 바닥면 및 양 측벽들 상에 제공되는 반도체 소자.
  9. 활성 영역을 포함하는 기판;
    상기 기판 상에 제공되어 상기 활성 영역 상의 활성 패턴들을 정의하는 소자 분리막, 상기 활성 패턴들은 제1 방향으로 배열되고,
    상기 활성 패턴들의 상부들에 제공된 소스/드레인 패턴들;
    상기 활성 패턴들을 가로지르며 상기 제1 방향으로 연장되는 게이트 전극들, 상기 게이트 전극들은 상기 제1 방향에 교차하는 제2 방향으로 배열되고; 및
    상기 소스/드레인 패턴들 및 상기 게이트 전극들을 덮는 층간 절연막을 포함하되,
    상기 활성 패턴들은, 상기 제1 방향으로 서로 인접하는 제1 활성 패턴 및 제2 활성 패턴, 및 상기 제1 방향으로 서로 인접하는 제3 활성 패턴 및 제4 활성 패턴을 포함하고,
    상기 소자 분리막은, 상기 제1 및 제2 활성 패턴들 사이의 제1 소자 분리막, 및 상기 제3 및 제4 활성 패턴들 사이의 제2 소자 분리막을 포함하며,
    상기 제1 소자 분리막의 상기 제1 방향으로의 폭은, 상기 제2 소자 분리막의 상기 제1 방향으로의 폭보다 크고,
    상기 제2 소자 분리막은, 그의 상면으로부터 돌출된 제1 돌출부 및 제2 돌출부를 포함하는 반도체 소자.
  10. 제9항에 있어서,
    상기 활성 영역은, PMOSFET 영역 또는 NMOSFET 영역인 반도체 소자.
KR1020190130689A 2019-10-21 2019-10-21 반도체 소자 및 이의 제조 방법 KR20210047408A (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020190130689A KR20210047408A (ko) 2019-10-21 2019-10-21 반도체 소자 및 이의 제조 방법
US16/903,015 US11282921B2 (en) 2019-10-21 2020-06-16 Semiconductor device and method of manufacturing the same
CN202011122787.0A CN112768449A (zh) 2019-10-21 2020-10-20 半导体器件和制造该半导体器件的方法
US17/667,996 US11735626B2 (en) 2019-10-21 2022-02-09 Semiconductor device and method of manufacturing the same
US18/350,187 US12034042B2 (en) 2019-10-21 2023-07-11 Method of manufacturing multi-channel field effect transistors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190130689A KR20210047408A (ko) 2019-10-21 2019-10-21 반도체 소자 및 이의 제조 방법

Publications (1)

Publication Number Publication Date
KR20210047408A true KR20210047408A (ko) 2021-04-30

Family

ID=75491387

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190130689A KR20210047408A (ko) 2019-10-21 2019-10-21 반도체 소자 및 이의 제조 방법

Country Status (3)

Country Link
US (3) US11282921B2 (ko)
KR (1) KR20210047408A (ko)
CN (1) CN112768449A (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200136688A (ko) * 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR20210047408A (ko) 2019-10-21 2021-04-30 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR20230013683A (ko) * 2021-07-16 2023-01-27 삼성전자주식회사 반도체 소자

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5930646A (en) 1998-10-09 1999-07-27 Chartered Semiconductor Manufacturing, Ltd. Method of shallow trench isolation
US6686283B1 (en) 1999-02-05 2004-02-03 Texas Instruments Incorporated Shallow trench isolation planarization using self aligned isotropic etch
KR100674896B1 (ko) 2000-07-26 2007-01-26 삼성전자주식회사 반도체 집적회로의 트렌치 소자 분리 방법
US6740955B1 (en) 2001-07-03 2004-05-25 Samsung Electronics Co., Ltd. Trench device isolation structure
US6475875B1 (en) 2001-07-09 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Shallow trench isolation elevation uniformity via insertion of a polysilicon etch layer
US6656817B2 (en) 2002-04-30 2003-12-02 International Business Machines Corporation Method of filling isolation trenches in a substrate
KR20050002439A (ko) 2003-06-30 2005-01-07 주식회사 하이닉스반도체 반도체소자의 제조방법
KR100703836B1 (ko) 2005-06-30 2007-04-06 주식회사 하이닉스반도체 반도체 소자의 트렌치형 소자분리막 형성방법
US20090127648A1 (en) 2007-11-15 2009-05-21 Neng-Kuo Chen Hybrid Gap-fill Approach for STI Formation
US8039326B2 (en) * 2009-08-20 2011-10-18 Globalfoundries Inc. Methods for fabricating bulk FinFET devices having deep trench isolation
US9123771B2 (en) 2013-02-13 2015-09-01 Globalfoundries Inc. Shallow trench isolation integration methods and devices formed thereby
US9515184B2 (en) * 2013-09-12 2016-12-06 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement with multiple-height fins and substrate trenches
US9219115B2 (en) 2013-10-11 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Forming conductive STI liners for FinFETS
US9059244B2 (en) 2013-10-15 2015-06-16 International Business Machines Corporation Fabricating shallow-trench isolation semiconductor devices to reduce or eliminate oxygen diffusion
US20160365253A1 (en) 2015-06-09 2016-12-15 Macronix International Co., Ltd. System and method for chemical mechanical planarization process prediction and optimization
KR102352157B1 (ko) 2015-09-01 2022-01-17 삼성전자주식회사 집적회로 소자
US10157770B2 (en) * 2016-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having isolation structures with different thickness and method of forming the same
KR102519551B1 (ko) 2017-08-03 2023-04-10 삼성전자주식회사 반도체 소자
CN110581128B (zh) * 2018-06-07 2022-05-10 联华电子股份有限公司 半导体结构及其制作方法
US11114303B2 (en) * 2018-07-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
KR20210047408A (ko) * 2019-10-21 2021-04-30 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Also Published As

Publication number Publication date
CN112768449A (zh) 2021-05-07
US11282921B2 (en) 2022-03-22
US11735626B2 (en) 2023-08-22
US20210118991A1 (en) 2021-04-22
US20220173212A1 (en) 2022-06-02
US12034042B2 (en) 2024-07-09
US20230352526A1 (en) 2023-11-02

Similar Documents

Publication Publication Date Title
US10403739B2 (en) Method for fabricating semiconductor device
TWI705504B (zh) 具有高k間隔件及自對準觸點覆蓋層之finfet
TW201732894A (zh) 半導體裝置及其製造方法
US12034042B2 (en) Method of manufacturing multi-channel field effect transistors
KR102456669B1 (ko) 반도체 소자
US11211497B2 (en) Semiconductor device
KR20200067225A (ko) 반도체 장치 및 그 제조 방법
US20230022952A1 (en) Semiconductor device with channel patterns having different widths
US11948994B2 (en) Semiconductor device and method of fabricating the same
US11961839B2 (en) Semiconductor device
TW202228298A (zh) 半導體裝置
EP3979329A2 (en) Semiconductor device and method of fabricating the same
KR20210042222A (ko) 반도체 소자
KR102437286B1 (ko) 반도체 소자
KR102472571B1 (ko) 반도체 소자
CN108461494B (zh) 包括凹入式栅电极部分的半导体器件
KR20220099143A (ko) 반도체 장치
CN111029405A (zh) 半导体器件
US20240128321A1 (en) Semiconductor device including blocking layer and source/drain structure
US20240105789A1 (en) Semiconductor device including a field effect transistor
KR20220161623A (ko) 반도체 소자
KR20240116352A (ko) 컨택 레일을 구비한 반도체 구조물 및 그 형성 방법
CN118016661A (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal