TWI705504B - 具有高k間隔件及自對準觸點覆蓋層之finfet - Google Patents

具有高k間隔件及自對準觸點覆蓋層之finfet Download PDF

Info

Publication number
TWI705504B
TWI705504B TW107113040A TW107113040A TWI705504B TW I705504 B TWI705504 B TW I705504B TW 107113040 A TW107113040 A TW 107113040A TW 107113040 A TW107113040 A TW 107113040A TW I705504 B TWI705504 B TW I705504B
Authority
TW
Taiwan
Prior art keywords
layer
gate
source
contact
sidewall
Prior art date
Application number
TW107113040A
Other languages
English (en)
Other versions
TW201937608A (zh
Inventor
輝 臧
許國偉
凱斯 塔巴克曼
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW201937608A publication Critical patent/TW201937608A/zh
Application granted granted Critical
Publication of TWI705504B publication Critical patent/TWI705504B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

於製造FinFET裝置時,在閘極與源極/汲極觸點位置之間提供一隔離架構。該隔離架構可包含低k間隔件層及觸點蝕刻終止層。該隔離架構進一步包含適於在用於打開該等源極/汲極觸點位置的蝕刻期間抵抗剝蝕的具有蝕刻選擇性的高k層。該高k層連同被配置在該閘極上方的自對準觸點(SAC)覆蓋層而形成用於抑制該閘極與源極/汲極觸點之間的短路或寄生電容的改良式隔離結構。

Description

具有高K間隔件及自對準觸點覆蓋層之FINFET
本申請案係大致有關用於形成半導體裝置之方法,且尤係有關用於形成降低閘極與源極/汲極觸點之間的電短路風險之鰭式場效電晶體(Fin Field Effect Transistor;簡稱FinFET)之方法。
在半導體製造技術的開發中,一直有增加每一晶片的裝置密度的趨勢,且因而減小主動結構的尺寸以及該等結構之間的距離。裝置密度的增加可能會有利地影響到諸如電路速度等的裝置性能,但也會使得設計及功能越來越複雜。然而,尺寸的減小以及伴隨的密度增加也可能產生不希望的效果,其中包括鄰近導電元件間之不想要的短路。
在先進節點FinFET裝置中,例如,閘極觸點與源極/汲極觸點的接近可能導致這些鄰近結構之間會有不想要的寄生電容或傳導(亦即,漏電),尤其在該等結構的各別頂部及底部部分更是如此,這可能會不利地影響到良率。
因而希望開發對於諸如鄰近閘極與源極/汲極觸點的鄰近導電元件之間的不想要的短路有降低的傾向之半導體裝置架構以及用於製造此種半導體裝置架構之方法。
請參閱第1圖,製造的中間階段時的一比較FinFET架構包含被配置在半導體基板10上方的一半導體鰭12。鰭12包含交替配置的通道13及源極/汲極區14。在每一通道區13上方接續地形成閘極介電層61、金屬閘極62、及覆蓋層70,且在每一源極/汲極區14上方形成源極/汲極接面30。在每一源極/汲極接面30上方配置導電觸點82,且在該結構上方形成層間介電質90。以源極/汲極觸點92將層間介電質90中之開口金屬化。一低k間隔件層23將該等金屬閘極62及上覆的該等覆蓋層70與該等導電觸點82側向隔離。
將可了解到,在第1圖的結構的製造期間,該等導電觸點82的形成包含:自該等源極/汲極接面30上方選擇性地移除介電層(圖中未示出)的一或多個蝕刻步驟;以及然後將所造成的溝槽金屬化。不充分的蝕刻(蝕刻不足(under-etch))可能導致在該等源極/汲極接面上方形成的該介電層材料的移除不完全,因而可能導致電開路。另一方面,該介電層的蝕刻過量(over-etch)可能導致低k間隔件層23(尤其在該結構的頂部)的侵蝕,因而可能導致金屬閘極62與導電觸點82之間的橋接及電短路。在第1 圖的比較架構中,在打開用於導電觸點82的該溝槽期間,側向地蝕刻間隔件層23的上方部分。
根據各實施例,FinFET裝置的形成包含:移除該間隔件層的頂部部分;以及然後在該鰭之上以及用於該等源極/汲極導電觸點的該溝槽內之該間隔件層的剩餘部分上方形成高k層。在各實施例中,該高k層與該閘極觸點上覆的該覆蓋層聯合形成該閘極與該等源極/汲極觸點之間的有效障壁。此外,根據各實施例,該間隔件層的頂部部分的移除加寬了該鰭的通道區上方的閘極觸點開口之上方部分,因而提高了該閘極觸點開口內之該閘極觸點的可製造性。
根據某些實施例,FinFET裝置包含:具有源極/汲極區及鄰近該源極/汲極區的通道區之半導體鰭;被配置在該源極/汲極區上方的導電觸點;被配置在該通道區上方的閘極堆疊,其中該閘極堆疊包含高k層及覆在該高k層上面的閘極導體層;以及被配置在該閘極堆疊上方的覆蓋層,其中該高k層在該覆蓋層與該導電觸點之間的該覆蓋層的側壁表面上方延伸。
根據進一步的實施例,FinFET裝置包含:具有源極/汲極區及鄰近該源極/汲極區的通道區之半導體鰭;被配置在該源極/汲極區之上方的導電觸點;被配置在該通道區上方的閘極堆疊;被配置在該閘極堆疊上方的覆蓋層;該導電觸點與該閘極堆疊之間的低k間隔件層;以及被配置在該隔離層上方的高k層,其中該高k層 在該覆蓋層與該導電觸點之間的該覆蓋層的側壁表面上方延伸。
一種形成FinFET裝置的方法包含下列步驟:在半導體基板上方形成一半導體鰭,該半導體鰭具有源極/汲極區及鄰近該源極/汲極區的通道區;在該通道區上方形成一犧牲閘極;以及在該犧牲閘極的側壁上方形成一低k間隔件層。
該方法進一步包含:在該源極/汲極區上方形成觸點蝕刻終止層,且在該觸點蝕刻終止層上方形成介電質填充層,其中在該低k間隔件層的側壁上方形成該觸點蝕刻終止層;蝕刻該犧牲閘極的上方部分及該低k間隔件層的上方部分,以形成一上方閘極觸點開口;以及蝕刻該犧牲閘極,而形成一下方閘極觸點開口,且露出該鰭的該通道區。
在該下方及上方閘極觸點開口內形成高k層,其中在該鰭的該通道區上方、該低k間隔件層的側壁上方、及該觸點蝕刻終止層的側壁上方形成該高k層。
然後在該下方閘極觸點開口內形成閘極導體層,且在該上方閘極觸點開口內之該閘極導體層上方形成覆蓋層。
10、100‧‧‧半導體基板
12、120‧‧‧鰭、半導體鰭
13、130‧‧‧通道區
14、140‧‧‧源極/汲極區
23‧‧‧低k間隔件層
30、300‧‧‧源極/汲極接面
61‧‧‧閘極介電層
62‧‧‧金屬閘極
70、700‧‧‧覆蓋層
82、820‧‧‧導電觸點
90、900‧‧‧層間介電質
92、920‧‧‧源極/汲極觸點
210‧‧‧犧牲閘極
220‧‧‧硬遮罩
230‧‧‧側壁間隔件、間隔件層
410‧‧‧保形襯墊、觸點蝕刻終止層
420‧‧‧介電質填充層
510‧‧‧上方閘極觸點開口
520‧‧‧下方閘極觸點開口
600‧‧‧閘極堆疊
610‧‧‧高k層、閘極介電質
620‧‧‧閘極導體、閘極導體層、閘極
810‧‧‧自對準觸點開口、觸點開口
當連同下列圖式而閱讀時,將可對本申請案的特定實施例的下文之詳細說明有最佳的了解,其中以同樣的元件符號指示同樣的結構,且在該等圖式中: 第1圖是一比較FinFET架構的一示意圖;第2圖係示出在形成半導體鰭的通道區上方的功能閘極、該等犧牲閘極的側壁上方的間隔件層、該鰭的源極/汲極區上方的源極/汲極接面、以及在鄰近間隔件層之間且在該等源極/汲極接面上方的觸點蝕刻終止層及介電質填充層之後的製造中間階段時的平坦化FinFET結構;第3圖係示出在對該等犧牲閘極執行凹入蝕刻而形成上方閘極觸點開口之後的第2圖之結構;第4圖係示出該間隔件層的凹入蝕刻以及該等上方閘極觸點開口的伴隨的擴大;第5圖係示出移除該等犧牲閘極而形成下方閘極觸點開口且露出該鰭的上表面;第6圖係示出在該等上方及下方閘極觸點開口內且在該鰭上方的高k層的沈積;第7圖係示出該等閘極觸點開口內的該高k層上方的一或多個閘極導體層的沉積及平坦化;第8圖係示出該閘極導體層的凹入蝕刻;第9圖係示出在該等凹入式閘極導體層上方及該高k層上方的覆蓋層的形成及平坦化;第10圖係示出自該等源極/汲極接面上方移除該介電質填充層及觸點蝕刻終止層而形成觸點溝槽;第11圖係示出該等源極/汲極接面上方的該等觸點溝槽內之導電觸點的形成;以及 第12圖是包含被配置在源極/汲極觸點與鄰近自對準閘極覆蓋層之間的高k層的一FinFET結構之橫斷面圖。
現在將更詳細地參考本申請案之標的各實施例,其中在各附圖中示出了本申請案之標的某些實施例。在所有該等圖式中將使用相同的元件符號參照相同的或類似的部分。
在本說明書的用法中,層或結構的形成或沉積可能涉及適用於被沉積的材料或層或被形成的結構之一或多種技術。此類技術包括但不限於化學氣相沉積(Chemical Vapor Deposition;簡稱CVD)、低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition;簡稱LPCVD)、電漿增強式化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition;簡稱PECVD)、金屬有機化學氣相沉積(Metal Organic CVD;簡稱MOCVD)、原子層沉積(Atomic Layer Deposition;簡稱ALD)、分子束磊晶(Molecular Beam Epitaxy;簡稱MBE)、電鍍、無電電鍍(electroless plating)、離子束沉積(ion beam deposition)、以及諸如濺鍍(sputtering)或蒸鍍(evaporation)等的物理氣相沉積(Physical Vapor Deposition;簡稱PVD)。
本發明揭露了一種製造FinFET裝置之方法以及將高k層併入閘極與源極/汲極觸點之間的障壁層架構之形成的裝置。在某些實施例中,在閘極觸點開口內之 鰭的通道區上方形成該高k層的一部分,以提供閘極介電層。該高k層也在該閘極觸點開口的側壁上方延伸,且覆在間隔件層的側壁上面,而形成隔離架構的一部分。
在被用於打開溝槽且將溝槽金屬化而提供該裝置的源極/汲極區的導電觸點之處理步驟期間,該高k層適合充當抗蝕障壁,以用於抑制下方介電層的侵蝕並因而防止該金屬閘極與該源極/汲極金屬化之間的短路。尤其,該高k層可抵抗被用於打開該等源極/汲極觸點位置的蝕刻化學劑,因此,相對於包含低k間隔件及觸點蝕刻終止層的現有隔離架構,提供了具有化學及機械強健性之一界面。
在各實施例中,在凹入式金屬閘極上方形成自對準觸點(Self-Aligned Contact;簡稱SAC)覆蓋層,使得該SAC覆蓋層的底面配置在該低k間隔件的底面下方。在該低k間隔件的側壁及頂面上方(亦即,在該低k間隔件與該SAC覆蓋層之間)配置該高k層。
現在將參照第2至12圖說明將高k層併入用於形成FinFET裝置的方法、以及上覆的自對準觸點(SAC)覆蓋層的形成。
請參閱第2圖,在半導體基板100上方形成半導體鰭120。該半導體基板可以是塊狀基板(bulk substrate)或諸如絕緣體上覆半導體(Semiconductor On Insulator;簡稱SOI)基板等的複合基板,且可包含熟悉此項技術者習知的任何適當的半導體材料。該半導體基板的 一些部分可以是非晶的、多晶的、或單晶的。雖然以例示的橫斷面示出單一鰭,但是應當理解:可在該基板上方形成一陣列的鰭。
在各實施例中,各鰭120包含諸如矽的半導體材料,且可藉由先圖案化、然後蝕刻半導體基板100(例如,該半導體基板的頂部部分),而形成該鰭120。在數個實施例中,係自半導體基板100蝕刻該等鰭120,且因而該等鰭120係與半導體基板100相接。例如,可使用熟悉此項技術者習知的側壁圖像轉移(Sidewall Image Transfer;簡稱SIT)製程形成鰭120。
各鰭120可包含沿著長度方向延伸的單晶半導體材料。在本說明書的用法中,『長度方向』是物體延伸最多的水平方向。『寬度方向』是垂直於該長度方向的水平方向。
在本說明書的用法中,『水平的』意指沿著基板的主平面延伸的大致方向,且『垂直的』是大致與『水平的』正交的方向。此外,『垂直的』及『水平的』是與該基板在三維空間中之定向無關的情況下相對於彼此而大致垂直的方向。
在某些實施例中,該等鰭120可具有5奈米至20奈米的寬度、以及40奈米至150奈米的高度,但是可考慮其他的尺寸。在包含複數個鰭(亦即,鰭陣列)的結構中,可以20奈米至100奈米(例如,20、30、40、50、60、70、80、90、或100奈米,其中包括任何上述值之間 的範圍)的週期性或間距(d)將各鰭與其最近的鄰近鰭間隔開。在本說明書的用法中,用語『間距』意指鰭寬度及各鄰近鰭間之間隔的總和。
該等複數個鰭的定向通常是相互平行,且垂直於電路的元件庫邏輯流(library logic flow)。在鰭形成之後,可使用鰭切割(fin cut)或鰭移除製程除去所製造的特定電路或裝置中不想要的鰭或鰭中不想要的部分。因此,鰭至鰭的週期性在一陣列的鰭中可以是固定的或可變的。
仍然請參閱第2圖,圖中示出在製造的中間階段時的FinFET結構之平坦化後的橫斷面圖。鰭120包含熟悉此項技術者了解的交替配置之通道區130及源極/汲極區140。所示的是在鰭120的通道區130上方形成犧牲閘極210之後的取代金屬閘極(Replacement Metal Gate;簡稱RMG)結構。硬遮罩220覆在犧牲閘極210上面。在該鰭的每一源極/汲極區140上方形成源極/汲極接面300。犧牲閘極210及硬遮罩220被用於作為形成側壁間隔件230的模板,接著形成保形襯墊410及直接在保形襯墊410上方(亦即,在源極/汲極接面300上方的接觸位置內)的介電質填充層420之。
可使用習知的沉積、光微影、及蝕刻製程形成犧牲閘極210及上覆的硬遮罩220。犧牲閘極210可包含諸如一層非晶矽(a-Si)。可使用諸如溫度範圍自攝氏450°至攝氏700°的低壓化學氣相沉積(LPCVD)的化學氣相 沉積製程沉積非晶元素矽。矽烷(SiH4)可被用來作為CVD矽沉積的前驅物(precursor)。硬遮罩220可包含諸如氮化矽的介電材料,且可使用化學氣相沉積形成硬遮罩220。
犧牲閘極210可具有足以完全覆蓋該等鰭120的厚度。例如,犧牲閘極210的厚度範圍可自50至200奈米(例如,50、75、100、125、150、175、或200奈米,其中包括任何上述值之間的範圍),但也可使用較小及較大的厚度。
如將於下文中進一步詳細說明的,犧牲閘極210適於提供被用於取代金屬閘極(RMG)模組之暫時結構,其用以形成該鰭的每一通道區上方的功能閘極。在本說明書的用法中,『功能閘極』意指一種被用於控制使用電場或在某些例子中使用磁場的半導體裝置的輸出電流(亦即,通過通道的載子流)之結構,且『功能閘極』包含閘極介電質及閘極導體。該等功能閘極及該等源極/汲極區的金屬化可被用於形成可操作的裝置。
在第2圖的所示實施例中,在犧牲閘極210的側壁(垂直表面)上方配置側壁間隔件230,且在該等側壁間隔件230上方以及源極/汲極接面300的頂面上方配置保形襯墊410及介電質填充層420。保形襯墊410適於充當觸點蝕刻終止層(Contact Etch Stop Layer;簡稱CESL)。
可藉由毯覆式沉積間隔件材料(例如,使用原子層沉積製程),然後以諸如反應性離子蝕刻(Reactive Ion Etching;簡稱RIE)的方向性蝕刻(directional etch)自水 平表面移除該間隔件材料,而形成該等側壁間隔件230。在某些實施例中,該側壁間隔件的厚度是自4至20奈米(例如,4、10、15、或20奈米,其中包括任何上述值之間的範圍)。
在形成犧牲閘極210及側壁間隔件230之後,但在沉積保形襯墊410及介電質填充層420之前,可藉由植入或選擇性磊晶製程而形成源極/汲極接面300,例如使用該等側壁間隔件230作為對齊遮罩。
根據各實施例,源極/汲極接面300可包含矽(例如,Si)或諸如矽鍺(SiGe)的含矽材料。例如,可將SiGe源極/汲極接面併入p-MOS裝置,以便將壓縮應力(compressive stress)提供給通道,因而可提高載子遷移率(carrier mobility)。
用語『磊晶』、『磊晶的』、及/或『磊晶生長及/或沉積』意指在半導體材料的沉積表面上生長半導體材料層,其中所生長的該半導體材料層被認定有與該沉積表面的半導體材料相同的晶癖(crystalline habit)。例如,在一磊晶沉積製程中,由氣源(source gas)提供的化學反應物被控制,且系統參數被設定成使沉積原子落在該沉積表面上且經由表面擴散而保持足夠的遷移性,而使該等沉積原子根據該沉積表面的原子之晶向(crystalline orientation)而定向。因此,磊晶半導體材料具有與其形成時所依附的沉積表面相同的結晶特性。例示的磊晶生長製程包括低能量電漿沈積、液相磊晶(liquid phase epitaxy)、 分子束磊晶、以及大氣壓化學氣相沉積(atmospheric pressure chemical vapor deposition)。
可諸如使用離子植入或電漿摻雜製程摻雜該等源極/汲極接面300,其可在原地(in situ)(亦即,在磊晶生長期間)執行摻雜,或可在磊晶生長之後執行摻雜。在熱平衡的狀態下,摻雜會改變本質半導體的電子及電洞的載子濃度。摻雜層或區可以是p型或n型。
在本說明書的用法中,『p型』意指加入本質半導體而產生價電子(valence electron)不足的情形的雜質。在含矽鰭中,例示的P型摻雜劑(亦即,雜質)包括但不限於硼、鋁、鎵、及銦。在本說明書的用法中,『n型』意指加入本質半導體而產生提供自由電子的雜質。在含矽鰭中,例示的n型摻雜劑(亦即,雜質)包括但不限於銻、砷、及磷。
可將視需要的驅入退火(drive-in anneal)製程用於擴散摻雜劑物種且產生所需的摻雜劑分佈(dopant profile)。在某些實施例中,可使用磊晶後或植入後退火製程(例如,在攝氏600°至攝氏1400°的溫度下)將該等源極/汲極接面300內之摻雜劑原子擴散到半導體鰭120,而在該鰭內產生所需的摻雜劑分佈。
相繼地在該等源極/汲極接面300上方以及側壁間隔件230上方形成保形襯墊410及介電質填充層420,以便填充該等源極/汲極接面300上方的溝槽,且在視需要的情形下使用硬遮罩220作為蝕刻終止層而將所形 成的結構平坦化。
『平坦化』是一種至少將諸如摩擦介質的機械力用於產生實質上二維表面的材料移除製程。平坦化可包括化學機械研磨(Chemical Mechanical Polishing;簡稱CMP)或研磨。化學機械研磨(CMP)是一種將化學反應及機械力用於移除材料且將表面平坦化的材料移除製程。
可藉由毯覆式沉積適當的觸點蝕刻終止層材料(例如,使用原子層沉積製程),而形成保形襯墊410。在某些實施例中,保形襯墊410的厚度是自2至10奈米(例如,2、4、6、8、或10奈米,其中包括任何上述值之間的範圍)。
適當的側壁間隔件及保形襯墊材料包括諸如二氧化矽、氮化矽、氮氧化矽的氧化物、氮化物、及氮氧化物、以及諸如非晶碳、SiOC、SiOCN、及SiBCN的低介電常數(低k)材料。在本說明書的用法中,『低k』材料具有小於二氧化矽的介電常數之介電常數。
在本說明書的用法中,化合物二氧化矽及氮化矽具有標稱上被分別表示為SiO2及Si3N4的成分。該等術語二氧化矽及氮化矽不只是意指這些化學計量成分(stoichiometric composition),而且也意指偏離該等化學計量成分的氧化物及氮化物成分。
在各實施例中,自可彼此選擇性蝕刻的各材料形成側壁間隔件230及保形襯墊410。在特定實施例中,側壁間隔件230包含SiOCN,且保形襯墊(亦即,觸點 蝕刻終止層)410包含氮化矽。
介電質填充層420可包含其中包括諸如氧化物、氮化物、或氮氧化物的任何介電材料。在一實施例中,介電質填充層420包含二氧化矽。在各實施例中,介電質填充層420可以是自我平坦化的,或者可以化學機械研磨(CMP)將介電質填充層420的頂面平坦化。
請參閱第3圖,硬遮罩220被移除,且犧牲閘極210被凹入到低於該等側壁間隔件230的頂面之高度。可使用反應性離子蝕刻(RIE)製程凹入犧牲閘極210。在特定實施例中,相對於(低k)側壁間隔件230、觸點蝕刻終止層410、及介電質填充層420而選擇性蝕刻犧牲閘極210。在某些實施例中,10%至75%的原始犧牲閘極高度被凹入蝕刻(recess etch)移除。
在本說明書的用法中,參照到材料移除或蝕刻製程的術語『選擇性的』或『選擇性地』表示第一材料的材料移除率大於被施加該材料移除製程的該結構的至少另一材料的移除率。例如,在某些實施例中,選擇性蝕刻可包含移除第一材料的選擇性與移除第二材料的選擇性之比率為2:1或更大(5:1、10:1、或20:1)的蝕刻化學劑。
請參閱第4圖,進一步的蝕刻步驟被用於移除該等側壁間隔件230的上方部分,而露出觸點蝕刻終止層410。在例示實施例中,可使用對二氧化矽有選擇性的電漿蝕刻製程移除該等側壁間隔件230的上方部分,使 得介電質填充層420實質上未被蝕刻。在該所示實施例中,對該等側壁間隔件230的凹入蝕刻在鰭120的通道區130上方形成延伸到各鄰近觸點蝕刻終止層410之間的上方閘極觸點開口510。上方閘極觸點開口510具有一寬度w1。在該所示實施例中,在對該等側壁間隔件230的選擇性蝕刻之後,該等側壁間隔件230的剩餘部分之頂面與被凹入的犧牲閘極210共面。
請參閱第5圖,相對於側壁間隔件230、觸點蝕刻終止層410、及介電質填充層420而選擇性蝕刻犧牲閘極210,而形成下方閘極觸點開口520,且露出鰭120的通道區130。下方閘極觸點開口520的寬度(w2)小於上方閘極觸點開口510的寬度(w1)。在某些實施例中,上方閘極觸點開口510的寬度(w1)之範圍可自25至50奈米(例如,25、30、35、40、45、或50奈米,其中包括任何上述值之間的範圍),且下方閘極觸點開口520的寬度(w2)之範圍可自15至30奈米(例如,15、20、25、或30奈米,其中包括任何上述值之間的範圍)。
請參閱第6圖,在鰭120的頂面及側壁表面上方(亦即,直接在鰭120上方)且在該等側壁間隔件230的側壁表面及頂面上方以及在觸點蝕刻終止層410的露出部分上方形成保形高k層610。可以諸如原子層沉積(ALD)的保形沉積製程形成高k層610。
在各實施例中,高k層610適於充當一閘極介電層。在本說明書的用法中,高k材料具有大於二氧 化矽的介電常數的介電常數。高k介電質可包括諸如二氧化鉿(HfO2)的二元或三元化合物。進一步的例示高k介電質包括但不限於二氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化鋁(Al2O3)、二氧化鈦(TiO2)、鈦酸鍶(SrTiO3)、鈦酸鋇(BaTiO3)、鋁酸鑭(LaAlO3)、氧化釔(Y2O3)、氮氧化鉿(HfOxNy)、氮氧化矽鉿(HfSiOxNy)、氮氧化鋯(ZrOxNy)、氮氧化鑭(La2OxNy)、氮氧化鋁(Al2OxNy)、氮氧化鈦(TiOxNy)、氮氧化鈦鍶(SrTiOxNy)、氮氧化鋁鑭(LaAlOxNy)、氮氧化釔(Y2OxNy)、氮氧化矽(SiOxNy)、氮化矽(SiNx)、以上各項的矽酸鹽、以及上各項的合金。x的每一值可在0.5至3的範圍中獨立地改變,且y的每一值可在0至2的範圍中獨立地改變。高k層610的厚度之範圍可自1奈米至10奈米(例如,1、2、4、6、8、或10奈米,其中包括任何上述值之間的範圍)。
請參閱第7圖,在高k層610上方形成閘極導體層620,且該閘極導體層620實質上填充上方及下方閘極觸點開口510、520。閘極導體620及閘極介電質610界定一閘極堆疊600。閘極導體620可包含導電材料(諸如多晶矽、矽鍺)、導電金屬(諸如鋁、鎢、銅、鈦、鉭、鈷、鉑、銀、金、釕、銥、銠、及錸)、導電金屬的合金(諸如鋁銅合金)、導電金屬的矽化物(諸如矽化鎢及矽化鉑)、或其他導電金屬化合物(諸如氮化鈦(TiN)、碳化鈦(TiC)、氮化矽鈦(TiSiN)、氮化鉭鈦(TiTaN)、氮化鉭(TaN)、氮化鋁鉭(TaAlN)、氮化矽鉭(TaSiN)、氮化釕鉭(TaRuN)、氮化矽 鎢(WSiN)、矽化鎳(NiSi)、矽化鈷(CoSi))、以及以上各項的組合。閘極導體620可包含一或多層的此類材料(例如,包含功函數金屬層及/或導電襯墊的金屬堆疊),且可具有20至40奈米的厚度。在某些實施例中,閘極導體620包含直接在高k層610上方的氮化鈦(TiN)層、以及在該氮化鈦層上方的鎢(W)或鈷(Co)填充層。
如第7圖所示,可將反應性離子蝕刻(RIE)步驟或化學機械研磨(CMP)步驟用於自介電質填充層420的頂部移除閘極導體層620及高k層610,且形成一平坦化結構。
請參閱第8圖,使用凹入蝕刻製程來減小該等閘極觸點開口內之閘極導體層620的高度。在該凹入蝕刻之後,閘極導體620的頂面被設置成低於鄰近側壁間隔件230的頂面。在各實施例中,相對於閘極介電質610而選擇性移除閘極導體層620。
請參閱第9圖,直接在閘極導體620上方以及高k層610的露出表面上方(亦即,在上方閘極觸點開口510內)形成一閘極覆蓋層700。舉例而言,閘極覆蓋層700可包含諸如氮化矽或氮氧化矽(SiON)的氮化物材料。在沉積該閘極覆蓋層材料之後,可將一研磨步驟用於形成一平坦化結構。該研磨步驟可露出介電質填充層420。
請參閱第10圖,介電質填充層420及保形襯墊410被移除,而形成用於形成源極/汲極觸點的自對準觸點開口810。可使用對鄰近的露出層具有選擇性的一 或多個蝕刻步驟執行自源極/汲極接面300上方移除介電質填充層420及保形襯墊410。例如,可以反應性離子蝕刻或諸如濕式蝕刻或等向性電漿蝕刻的等向性蝕刻(isotropic etch)移除保形襯墊410。可被用於移除觸點蝕刻終止層410的例示濕式蝕刻化學劑包括磷酸。
在該源極/汲極區的金屬化之前,可將濕式蝕刻用於自該等源極/汲極接面300上方移除原生氧化物(native oxide)。用於剝離氧化物的例示濕式蝕刻包括氫氟酸或包含稀釋氫氟酸(dilute hydrofluoric acid;簡稱d-HF)的溶液。
在該濕式蝕刻期間,可能發生對該高k層的一些蝕刻。然而,在某些實施例中,高k層610、側壁間隔件230、及覆蓋層700共同形成源極/汲極接面300之上的觸點開口810與閘極620之間的連續障壁。
在所示實施例中,在覆蓋層700的側壁表面上方配置高k層610。此外,由於先前對閘極620的凹入蝕刻,所以覆蓋層700的底面偏離了間隔件層230的頂面。因此,覆蓋層700的側壁表面與間隔件層230的側壁表面重疊,從而形成一連續障壁,用於減低閘極620與觸點開口810內之後來形成的源極/汲極金屬化之間的短路的可能性。
請參閱第11圖,藉由沉積導電襯墊及障壁層(圖中未分別示出),然後以諸如鎢或鈷的導電金屬填充觸點開口810,而在該等觸點開口810內且在源極/汲極 接面300的露出表面上方形成導電觸點820。該導電襯墊通常是鈦,而該障壁層可以是氮化鈦(TiN)。
導電觸點820可包含用於形成與源極/汲極接面300間之歐姆接觸的金屬。可經由該導電襯墊(例如,鈦)與源極/汲極接面300之間的反應而原地形成矽化物層(例如,矽化鈦),以便形成一溝槽矽化物觸點。
在形成該等導電觸點820之後,可使用平坦化製程形成第11圖所示的平坦化結構,其中該等導電觸點820的頂面與閘極覆蓋層700的頂面共面。
請參閱第12圖,可在第11圖的該結構上方形成層間介電質900。可使用常見的光微影及蝕刻技術在層間介電質900中形成開口,並且在該等開口內形成源極/汲極觸點920(亦即,與導電觸點820在電氣上接觸)。
根據各實施例,高k層及自對準覆蓋層的該揭露之實施例提供了一種具有減低觸點間電短路之可能性的強健金屬化架構。以本發明方法製造的積體電路呈現較高的可靠性及性能,且將閘極與源極/汲極觸點之間的漏電最小化,而且減少了電路故障的例子。
在本說明書的用法中,除另有清楚的指示,否則單數形式『一』("a"、"an")及『該』("the")包括複數的所指對象。因此,例如,提到一『鰭』時,除非在前後文中另有清楚的指示,否則包括具有兩個或更多個此種『鰭』的例子。
除另有清楚的指示,否則絕不意圖將本說 明書中述及任何方法詮釋為需要按照一特定順序執行該方法的步驟。因此,當一方法申請專利範圍並不實際列述將被該方法申請專利範圍的步驟遵循的一順序時,或當並未在申請專利範圍或說明中另行明確地陳述該等步驟將限於一特定順序時,絕不意圖推斷任何特定順序。任一申請專利範圍中之任何被列述的單一或多個特徵或觀點可與任何其他一或多個申請專利範圍中之任何其他被列述的特徵或觀點組合或交換。
應當理解:當諸如一層、區域、或基板的一元件被稱為『在』另一元件『上』或『上方』被形成、沉積、或配置時,該元件可能直接在該另一元件上,或者也可能存在一或多個介於中間的元件。相反地,當一元件被稱為是『直接在』另一元件『上』或『上方』時,不存在任何介於中間的元件。
雖然可使用過渡片語『包含』揭露某些實施例的各種特徵、元件、或步驟,但是應當理解:包括可使用過渡片語『包括』("consisting")『或實質上由……組成』("consisting essentially of")說明的那些替代實施例之替代實施例是默示的。因此,例如,包含二氧化鉿的高k層之默示替代實施例包括實質上由二氧化鉿組成高k層的實施例、以及由二氧化鉿組成高k層的實施例。
熟悉此項技術者顯然可了解:可在不脫離本發明之精神及範圍下,對本發明作出各種修改及變形。因為熟悉此項技術者可對包含本發明的精神及本質的所揭 露實施例作出各種修改、組合、次組合、及變形,所以應將本發明詮釋為包括在最後的申請專利範圍及其均等物的範圍內之一切事物。
120‧‧‧鰭、半導體鰭
230‧‧‧側壁間隔件、間隔件層
300‧‧‧源極/汲極接面
610‧‧‧高k層、閘極介電質
620‧‧‧閘極導體、閘極導體層、閘極
700‧‧‧覆蓋層
810‧‧‧自對準觸點開口、觸點開口

Claims (14)

  1. 一種FinFET裝置,包含:半導體鰭,具有源極/汲極區及鄰近該源極/汲極區的一通道區;導電觸點,被配置在該源極/汲極區上方;閘極堆疊,被配置在該通道區上方,其中,該閘極堆疊包含高k層及覆在該高k層上面的閘極導體層;以及覆蓋層,被配置在該閘極堆疊上方,其中,該高k層在該覆蓋層與該導電觸點之間的該覆蓋層的側壁表面上方延伸;其中,該覆蓋層具有直接配置在該閘極堆疊上方的有一第一寬度的下方部分、以及配置在該下方部分上方的有一大於該第一寬度的第二寬度的上方部分。
  2. 一種FinFET裝置,包含:半導體鰭,具有源極/汲極區及鄰近該源極/汲極區的一通道區;導電觸點,被配置在該源極/汲極區上方;閘極堆疊,被配置在該通道區上方,其中,該閘極堆疊包含高k層及覆在該高k層上面的閘極導體層;覆蓋層,被配置在該閘極堆疊上方,其中,該高k層在該覆蓋層與該導電觸點之間的該覆蓋層的側壁表面上方延伸;以及間隔件層,位在該導電觸點與該閘極堆疊之間; 其中,該覆蓋層的側壁與該間隔件層的側壁的至少一部份重疊。
  3. 如申請專利範圍第2項所述之FinFET裝置,其中,該覆蓋層的底面低於該間隔件層的頂面。
  4. 如申請專利範圍第2項所述之FinFET裝置,其中,該覆蓋層包含氮化矽,且該間隔件層包含低k介電質。
  5. 如申請專利範圍第2項所述之FinFET裝置,其中,該閘極導體層的頂面低於該間隔件層的頂面。
  6. 一種FinFET裝置,包含:半導體鰭,具有源極/汲極區及鄰近該源極/汲極區的通道區;導電觸點,被配置在該源極/汲極區上方;閘極堆疊,被配置在該通道區上方;覆蓋層,被配置在該閘極堆疊上方;低k間隔件層,位在該導電觸點與該閘極堆疊之間;以及高k層,被配置在該低k間隔件層上方,其中,該高k層在該覆蓋層與該導電觸點之間的該覆蓋層的側壁表面上方延伸。
  7. 如申請專利範圍第6項所述之FinFET裝置,其中,該覆蓋層具有直接配置在該閘極堆疊上方的有一第一寬度的下方部分、以及配置在該下方部分上方的有一大於該第一寬度的第二寬度的上方部分。
  8. 如申請專利範圍第6項所述之FinFET裝置,其中,該 高k層的一部分被直接配置在該鰭的該通道區上方。
  9. 一種形成FinFET裝置的方法,包含:在半導體基板上方形成半導體鰭,該半導體鰭具有源極/汲極區及鄰近該源極/汲極區的通道區;在該通道區上方形成犧牲閘極;在該犧牲閘極的側壁上方形成低k間隔件層;在該源極/汲極區上方形成觸點蝕刻終止層,且在該觸點蝕刻終止層上方形成介電質填充層,其中,在該低k間隔件層的側壁上方形成該觸點蝕刻終止層;蝕刻該犧牲閘極的上方部分及該低k間隔件層的上方部分,而形成上方閘極觸點開口;蝕刻該犧牲閘極,而形成下方閘極觸點開口,且露出該鰭的該通道區;在該下方及上方閘極觸點開口內形成高k層,其中,在該鰭的該通道區上方、該低k間隔件層的側壁上方、及該觸點蝕刻終止層的側壁上方形成該高k層;在該下方閘極觸點開口內形成閘極導體層;以及在該上方閘極觸點開口內之該閘極導體層上方形成覆蓋層。
  10. 如申請專利範圍第9項所述之方法,進一步包含:蝕刻該介電質填充層及該觸點蝕刻終止層,而形成一觸點開口。
  11. 如申請專利範圍第10項所述之方法,其中,在形成該觸點開口期間在該覆蓋層的側壁上方配置該高k層。
  12. 如申請專利範圍第10項所述之方法,進一步包含:在該觸點開口內形成導電觸點,其中,在該低k間隔件層的側壁上方以及該高k層的側壁上方形成該導電觸點。
  13. 如申請專利範圍第9項所述之方法,進一步包含:蝕刻該閘極導體層,而形成具有低於該低k間隔件層的頂面之頂面的凹入式閘極。
  14. 如申請專利範圍第13項所述之方法,進一步包含:直接在該凹入式閘極上方形成該覆蓋層,其中,該覆蓋層的側壁與該低k間隔件層的側壁的至少一部分重疊。
TW107113040A 2018-02-22 2018-04-17 具有高k間隔件及自對準觸點覆蓋層之finfet TWI705504B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/902,098 US10734233B2 (en) 2018-02-22 2018-02-22 FinFET with high-k spacer and self-aligned contact capping layer
US15/902,098 2018-02-22

Publications (2)

Publication Number Publication Date
TW201937608A TW201937608A (zh) 2019-09-16
TWI705504B true TWI705504B (zh) 2020-09-21

Family

ID=67482238

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113040A TWI705504B (zh) 2018-02-22 2018-04-17 具有高k間隔件及自對準觸點覆蓋層之finfet

Country Status (3)

Country Link
US (1) US10734233B2 (zh)
DE (1) DE102019200725B4 (zh)
TW (1) TWI705504B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108807531B (zh) * 2017-04-26 2021-09-21 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10879174B2 (en) * 2018-03-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10566201B1 (en) * 2018-10-30 2020-02-18 Globalfoundries Inc. Gate cut method after source/drain metallization
CN111863710B (zh) * 2019-04-29 2023-07-18 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US20210104616A1 (en) * 2019-10-08 2021-04-08 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
DE102020126070A1 (de) * 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Kontaktbildungsverfahren und entsprechende struktur
US11682707B2 (en) 2020-03-31 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
CN113745149A (zh) * 2020-05-29 2021-12-03 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11264481B2 (en) 2020-07-01 2022-03-01 International Business Machines Corporation Self-aligned source and drain contacts
US20220246473A1 (en) * 2021-02-04 2022-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid film scheme for self-aligned contact
US11798943B2 (en) 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
US11495599B2 (en) * 2021-02-19 2022-11-08 Nanya Technology Corporation Semiconductor device with self-aligning contact and method for fabricating the same
KR20220158340A (ko) * 2021-05-24 2022-12-01 삼성전자주식회사 게이트 구조체를 갖는 반도체 소자들 및 그 형성 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
TW201236086A (en) * 2011-02-22 2012-09-01 Globalfoundries Us Inc A fin-transistor formed on a patterned STI region by late fin etch
TW201239991A (en) * 2011-03-21 2012-10-01 United Microelectronics Corp Fin field-effect transistor structure and manufacturing process thereof
TW201332021A (zh) * 2012-01-23 2013-08-01 Taiwan Semiconductor Mfg 半導體結構及其製造方法
US8679968B2 (en) * 2012-05-15 2014-03-25 Globalfoundries Singapore Pte. Ltd Method for forming a self-aligned contact opening by a lateral etch
US9112031B2 (en) * 2013-11-08 2015-08-18 International Business Machines Corporation Reduced resistance finFET device with late spacer self aligned contact

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009212369A (ja) * 2008-03-05 2009-09-17 Elpida Memory Inc 半導体装置及び半導体装置の製造方法並びにデータ処理システム
US8481415B2 (en) * 2010-12-02 2013-07-09 International Business Machines Corporation Self-aligned contact combined with a replacement metal gate/high-K gate dielectric
US9129986B2 (en) 2013-06-28 2015-09-08 Globalfoundries Inc. Spacer chamfering for a replacement metal gate device
KR102125749B1 (ko) * 2013-12-27 2020-07-09 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9536877B2 (en) * 2014-03-03 2017-01-03 Globalfoundries Inc. Methods of forming different spacer structures on integrated circuit products having differing gate pitch dimensions and the resulting products
US9536980B1 (en) * 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9564428B1 (en) * 2015-12-15 2017-02-07 International Business Machines Corporation Forming metal-insulator-metal capacitor
KR102514620B1 (ko) * 2016-04-28 2023-03-29 삼성전자주식회사 반도체 소자 및 이의 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7598142B2 (en) * 2007-03-15 2009-10-06 Pushkar Ranade CMOS device with dual-epi channels and self-aligned contacts
TW201236086A (en) * 2011-02-22 2012-09-01 Globalfoundries Us Inc A fin-transistor formed on a patterned STI region by late fin etch
TW201239991A (en) * 2011-03-21 2012-10-01 United Microelectronics Corp Fin field-effect transistor structure and manufacturing process thereof
TW201332021A (zh) * 2012-01-23 2013-08-01 Taiwan Semiconductor Mfg 半導體結構及其製造方法
US8679968B2 (en) * 2012-05-15 2014-03-25 Globalfoundries Singapore Pte. Ltd Method for forming a self-aligned contact opening by a lateral etch
US9112031B2 (en) * 2013-11-08 2015-08-18 International Business Machines Corporation Reduced resistance finFET device with late spacer self aligned contact

Also Published As

Publication number Publication date
US20190259619A1 (en) 2019-08-22
US10734233B2 (en) 2020-08-04
DE102019200725B4 (de) 2023-07-27
TW201937608A (zh) 2019-09-16
DE102019200725A1 (de) 2019-08-22

Similar Documents

Publication Publication Date Title
TWI705504B (zh) 具有高k間隔件及自對準觸點覆蓋層之finfet
CN108231893B (zh) 半导体装置及其制造方法
US10340363B2 (en) Fabrication of vertical field effect transistors with self-aligned bottom insulating spacers
US10374040B1 (en) Method to form low resistance contact
US10461186B1 (en) Methods of forming vertical field effect transistors with self-aligned contacts and the resulting structures
US10069011B2 (en) Method for fabricating a FinFET metallization architecture using a self-aligned contact etch
TWI702640B (zh) 增加有效閘極高度的方法
US11469332B2 (en) Semiconductor device and manufacturing method thereof
US10164104B2 (en) Method to form air-gap spacers and air-gap spacer-containing structures
CN105428394B (zh) 鳍部件的结构及其制造方法
US10879180B2 (en) FinFET with etch-selective spacer and self-aligned contact capping layer
TWI804889B (zh) 半導體裝置結構及製造方法
US10164067B2 (en) Method of fabricating a semiconductor device
TWI710058B (zh) 積體單擴散阻斷
TW202002022A (zh) 具改進的閘極-源/汲極金屬化隔離的半導體裝置
KR20180127156A (ko) 반도체 디바이스용 게이트 구조체
TW202036917A (zh) 半導體裝置
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
TW202243254A (zh) 積體電路
US9905473B1 (en) Self-aligned contact etch for fabricating a FinFET
US10242867B2 (en) Gate pickup method using metal selectivity
WO2023040421A1 (en) Bottom junction and contact area structures for vertical transport field-effect transistors
TWI770748B (zh) 半導體裝置及其製造方法
WO2024082734A1 (en) Direct backside self-aligned contact
US20230260836A1 (en) Contact features of semiconductor device and method of forming same