TWI770748B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI770748B
TWI770748B TW109147004A TW109147004A TWI770748B TW I770748 B TWI770748 B TW I770748B TW 109147004 A TW109147004 A TW 109147004A TW 109147004 A TW109147004 A TW 109147004A TW I770748 B TWI770748 B TW I770748B
Authority
TW
Taiwan
Prior art keywords
layer
semiconductor
conductive layer
layers
semiconductor device
Prior art date
Application number
TW109147004A
Other languages
English (en)
Other versions
TW202139366A (zh
Inventor
王俊傑
白岳青
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/937,732 external-priority patent/US11410889B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202139366A publication Critical patent/TW202139366A/zh
Application granted granted Critical
Publication of TWI770748B publication Critical patent/TWI770748B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1094Conducting structures comprising nanotubes or nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種製造半導體裝置的方法,包括:提供半導體層於基板上,半導體層垂直地排列,且相鄰的半導體層之間具有間隔;在每個半導體層的周圍形成界面層;在每個半導體層周圍的界面層上形成介電層;在介電層上形成第一導電層;去除第一導電層,使得介電層露出;在露出的介電層上形成第二導電層,使得相鄰的半導體層之間的間隔未被第二導電層完全填充;在第二導電層上形成第三導電層,使得相鄰的半導體層之間的間隔被第三導電層填充,其中:半導體層為半導體線或半導體片。

Description

半導體裝置及其製造方法
本發明實施例係有關於一種半導體裝置的形成方法,且特別關於一種全繞式閘極場效電晶體裝置的形成方法。
為了追求更高的裝置密度、更高的性能以及更低的成本,半導體產業進入奈米技術製程節點,來自製造和設計問題的挑戰導致了三維設計的發展,例如多閘極場效電晶體(field effect transistor, FET),包括鰭式場效電晶體(Fin FET)和全繞式閘極場效電晶體(gate-all-around FET, GAA FET)。在Fin FET中,閘極電極與通道區的三個側表面相鄰,並且閘極介電層介於之間。因為閘極結構在三個表面上包圍(包繞)鰭片,所以電晶體大抵上具有三個閘極以控制通過鰭片或通道區的電流。然而,通道的第四側(例如,底部)遠離閘極電極,因此不在閘極的緊密控制之下。相反地,在GAA FET中,通道區的所有側面都被閘極電極包圍,其允許在通道區中實現更充分的耗盡,並且由於陡峭的次臨界電流擺幅(sub-threshold current swing, SS)以及較小的汲極引發阻障降低(drain induced barrier lowering, DIBL),而導致較少的短通道效應。隨著電晶體尺寸不斷縮小到10至15奈米以下的技術節點,需要對GAA FET進行進一步的改進。
本發明一些實施例提供一種製造半導體裝置的方法,包括:提供半導體層於基板上,半導體層垂直地排列,且相鄰的半導體層之間具有間隔;在每個半導體層的周圍形成界面層;在每個半導體層周圍的界面層上形成介電層;在介電層上形成第一導電層;去除第一導電層,使得介電層露出;在露出的介電層上形成第二導電層,使得相鄰的半導體層之間的間隔未被第二導電層完全填充;在第二導電層上形成第三導電層,使得相鄰的半導體層之間的間隔被第三導電層填充,其中:半導體層為半導體線或半導體片。
本發明一些實施例提供一種製造半導體裝置的方法,包括:在設置在基板上的底部鰭片結構上,提供用於n型FET的第一組半導體層,以及用於p型FET的第二組半導體層,每個半導體層垂直地排列,且相鄰的半導體層之間具有間隔;在第一組中與第二組中的每個半導體層的周圍形成介電層;利用犧牲層填充在第二組中的半導體層之間的間隔;在第一組中的半導體層的周圍形成一個或多個n型功函數調整層,使得在第一組中的半導體層之間的間隔被一個或多個n型功函數調整層完全填充;在形成一個或多個n型功函數調整層之後,從第二組去除犧牲層;以及在第二組中的半導體層的周圍形成一個或多個p型功函數調整層,其中:半導體層為半導體線或半導體片。
本發明一些實施例提供一種半導體裝置,包括:半導體奈米片,以垂直方向排列在基板上;閘極介電層,包繞每個半導體奈米片的通道區;第一金屬層,設置在閘極介電層上,並且包繞每個半導體奈米片的通道區;第二金屬層,設置在第一金屬層上,並且包繞每個半導體奈米片的通道區;以及第三金屬層,設置在第二金屬層上,其中第二金屬層完全填充半導體奈米片中相鄰的半導體奈米片之間的間隔,每個半導體奈米片被第一金屬層以及閘極介電層包繞。
以下內容提供了許多不同實施例或範例,以實現本揭露實施例的不同部件。以下描述組件和配置方式的具體範例,以簡化本揭露實施例。當然,這些僅僅是範例,而非意圖限制本揭露實施例。舉例而言,元件的尺寸不限於所揭露的範圍或數值,而是可以取決於製程條件及/或裝置的期望特性。此外,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件可以不直接接觸的實施例。為了簡單和清楚起見,可以按不同比例任意繪製各種部件。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。另外,術語“由...形成”可以表示“包含”或“由...組成”。在本揭露中,術語“ A、B及C之一”是指“ A、B及/或C”(A、B、C、A及B、A及C、B及C或A、B及/或C),而並不表示來自A的一個元件、來自B的一個元件及來自C的一個元件,除非另有說明。關於一個實施例說明的材料、配置、尺寸、過程及/或操作可以在其他實施例中採用,並且可以省略其詳細說明。
第1圖至第19圖係根據本揭露的一個實施例,繪示用於製造奈米結構FET裝置,例如GAA(gate-all-around, 全繞式閘極)FET裝置的示例性順序製程。應當理解,可以在第1圖至第19圖所示的處理之前、之中以及之後提供額外的操作。以及對於上述方法的額外實施例,一些如下所述的操作可以被替換或消除。操作/製程的順序可以互換。
如第1圖所示,將雜質離子(摻質)12佈植到矽基板10中以形成阱區。執行離子佈植以防止擊穿效應(punch-through effect)。
在一個實施例中,基板10包括至少在其表面部分上方的單晶半導體層。基板10可以包括單晶半導體材料,例如,但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb以及InP。在此實施例中,基板10係由Si所形成。
基板10可以包括在其表面區域中的一個或多個緩衝層(未示出)。緩衝層可以用以將基板的晶格常數逐漸改變為源極/汲極區的晶格常數。緩衝層可以由磊晶成長的單晶半導體材料形成,例如,但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP以及InP。在一個具體的實施例中,基板10包括磊晶成長在矽基板10上的矽鍺(SiGe)緩衝層。SiGe緩衝層的鍺濃度可以從最底部的緩衝層的約30原子%的鍺,增加到最頂部的緩衝層的約70原子%的鍺。
基板10可以包括已經適當地摻雜有雜質(例如,p型或n型導電性)的各種區域。例如,對於n型Fin FET,摻質12為硼(BF2 ),對於p型Fin FET,摻質12為磷。
在第2圖中,在基板10上方形成堆疊的半導體層。堆疊的半導體層包括第一半導體層20以及第二半導體層25。此外,在堆疊的半導體層上方形成遮罩層15。
第一半導體層20和第二半導體層25係由具有不同晶格常數的材料形成,並且可以包括一層或多層的Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb或InP。
在一些實施例中,第一半導體層20和第二半導體層25係由Si、Si化合物、SiGe、Ge或Ge化合物形成。在一個實施例中,第一半導體層20為Si1-x Gex ,其中x大於約0.3,或為Ge(x等於1.0),第二半導體層25為Si或Si1-y Gey ,其中y小於約0.4,且x大於y。在本揭露中,“M化合物”或“以M為主的化合物”是指化合物主要的部分為M。
在另一個實施例中,第二半導體層25為Si1-y Gey ,其中y大於約0.3,或為Ge,並且第一半導體層20為Si或Si1-x Gex ,其中x是小於約0.4,且x小於y。在又一些其他實施例中,第一半導體層20由Si1-x Gex 形成,其中x在大約0.3至大約0.8的範圍內,並且第二半導體層25由Si1-x Gex 形成,其中x在約0.1至約0.4的範圍內。
在第2圖中,設置五層第一半導體層20和六層第二半導體層25。然而,這些層的數目不限於五個,也可以小至為1(每層),並且在一些實施例中,可以個別形成2至10層的第一半導體層以及第二半導體層。通過調整堆疊層的數量,可以調整GAA FET裝置的驅動電流。
第一半導體層20和第二半導體層25磊晶地形成在基板10之上。第一半導體層20的厚度可以等於或大於第二半導體層25的厚度,並且在一些實施例中,厚度在約5奈米至約50奈米的範圍內,並且其他實施例中,厚度在約10奈米至約30奈米的範圍內。在一些實施方案中,第二半導體層25的厚度在約5奈米至約30奈米的範圍內,並且在其他實施例中,厚度在約10奈米至約20奈米的範圍內。每個第一半導體層20的厚度可以相同或可以變化。
在一些實施例中,底部的第一半導體層(最接近基板10的層)比其餘的第一半導體層厚。在一些實施例中,底部的第一半導體層的厚度在約10奈米至約50奈米的範圍內,或者在其他實施例中,厚度在20奈米至40奈米的範圍內。
在一些實施例中,遮罩層15包括第一遮罩層15A和第二遮罩層15B。第一遮罩層15A係由氧化矽形成的墊氧化物層,其可以通過熱氧化形成。第二遮罩層15B係由氮化矽(SiN)形成,其可以通過化學氣相沉積(chemical vapor deposition, CVD),包括低壓CVD(low pressure CVD, LPCVD)以及電漿輔助CVD(plasma enhanced CVD, PECVD)、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(atomic layer deposition, ALD )或其他合適的製程形成。通過使用圖案化操作,包括微影和蝕刻,使遮罩層15圖案化為遮罩圖案。
接下來,如第3圖所示,通過使用圖案化的遮罩層,第一和第二半導體層20、25的推疊層被圖案化,從而將堆疊層形成在X方向上延伸的鰭片結構30。在第3圖中,兩個鰭片結構30設置在Y方向上。然而,鰭片結構的數量不限於此,並且可以小至一個或三個或更多。在一些實施例中,在鰭片結構30的兩側上形成一個或多個虛設鰭片結構,以提高圖案化操作中的圖案保真度(fidelity)。
如第3圖所示,鰭片結構30具有由堆疊的半導體層20、25和阱部11構成的上部。
在一些實施例中,鰭片結構的上部沿Y方向的寬度W1在大約10奈米至大約40奈米的範圍內,並且在其他實施例中,在大約20奈米至大約30奈米的範圍內。沿著鰭片結構的Z方向的高度H1在大約100奈米到大約200奈米的範圍內。
在形成鰭片結構之後,絕緣材料層41形成在基板上,絕緣材料層41包括一層或多層絕緣材料,使得鰭片結構完全嵌入在絕緣層41中。絕緣層41的絕緣材料可以包括氧化矽、氮化矽、氮氧化矽(SiON)、SiOCN、SiCN、摻氟的矽酸鹽玻璃(fluorine-doped silicate glass, FSG)、或低介電常數介電材料,可以通過LPCVD(低壓化學氣相沉積)、電漿CVD或流動式CVD形成。可以在形成絕緣層41之後執行退火操作。之後,執行平坦化操作,例如化學機械研磨(chemical mechanical polishing, CMP)方法及/或回蝕方法,使得最上面的第二半導體層25從絕緣材料層41露出,如第4圖所示。
在一些實施例中,如第4圖所示,在形成絕緣材料層41之前,第一襯層35形成在第3圖所示的結構上方。第一襯層35係由SiN或以氮化矽為主的材料(例如,SiON、SiCN或SiOCN)所形成。
之後,如第5圖所示,凹蝕絕緣材料層41以形成隔離絕緣層40,使得鰭片結構30的上部露出。藉由此操作,鰭片結構30通過隔離絕緣層40彼此電性分離,隔離絕緣層也被稱為淺溝槽隔離(shallow trench isolation, STI)。
在第5圖所示的實施例中,凹蝕絕緣材料層41直到露出最底部的第一半導體層20。在其他實施例中,阱層11的上部也被部分露出。第一半導體層20為隨後被部分去除的犧牲層,並且第二半導體層25隨後形成為GAA FET的通道層。
如第6圖所示,在形成隔離絕緣層40之後,形成犧牲閘極介電層52。犧牲閘極介電層52包括一層或多層絕緣材料,例如以氧化矽為主的材料。在一實施例中,可以使用通過CVD形成的氧化矽。在一些實施例中,犧牲閘極介電層52的厚度在大約1奈米至大約5奈米的範圍內。
第7圖繪示犧牲閘極結構50形成在露出的鰭片結構30上之後的結構。犧牲閘極結構包括犧牲閘極電極54以及犧牲閘極介電層52。犧牲閘極結構50形成的在鰭片結構的一部分上,其將成為通道區。犧牲閘極結構定義GAA FET的溝通區。
如第7圖所示,通過首先在鰭片結構上方毯覆式沉積犧牲閘極介電層52來形成犧牲閘極結構50。之後將犧牲閘極電極層毯覆式沉積在犧牲閘極介電層上和鰭片結構上,使得鰭片結構完全嵌入犧牲閘極電極層中。犧牲閘極電極層包括矽,例如多晶矽或非晶矽。在一些實施例中,犧牲閘極電極層的厚度在約100奈米至約200奈米的範圍內。在一些實施例中,對犧牲閘極電極層進行平坦化操作。可以使用CVD(包括LPCVD、PECVD)、PVD、ALD或其他合適製程來沉積犧牲閘極介電層和犧牲閘極電極層。隨後,在犧牲閘極電極層上方形成遮罩層。遮罩層包括墊SiN層56和氧化矽遮罩層58。
接續,如第7圖所示,在遮罩層上執行圖案化操作,並且將犧牲閘極電極層圖案化為犧牲閘極結構50。犧牲閘極結構包括犧牲閘極介電層52、犧牲閘極電極層54(例如,多晶矽)、墊SiN層56以及氧化矽遮罩層58。通過圖案化犧牲閘極結構,第一半導體層和第二半導體層的堆疊層在犧牲閘極結構的相對側上部分地露出,從而定義源極/汲極(S/D)區,如第7圖所示。在本揭露中,源極和汲極可互換使用,並且其結構大抵相同。在第7圖中,形成一個犧牲閘極結構,然而犧牲閘極結構的數量不限於一個。在一些實施例中,可以在X方向上設置兩個或更多個犧牲閘極結構。在一些特定的實施例中,在犧牲閘極結構的兩側上形成一個或多個虛設犧牲閘極結構,以提高圖案保真度。
如第8圖所示,在形成犧牲閘極結構之後,通過CVD或其他合適的方法,順應性地形成用於側壁間隔物55的絕緣材料的毯覆層53。毯覆層53以順應性的方式沉積,使得其形成為在垂直表面上具有大抵相等的厚度,例如犧牲閘極結構的側壁、水平表面和頂部。在一些實施例中,毯覆層53被沉積到約2奈米至約10奈米範圍內的厚度。在一個實施例中,毯覆層53的絕緣材料為以氮化矽為主的材料,例如SiN、SiON、SiOCN或SiCN及其組合。
此外,如第9A-9C圖所示,在犧牲閘極結構的相對側壁上形成側壁間隔物55,之後,凹蝕源極/汲極區的鰭片結構至隔離絕緣層40的上表面下方。第9B圖是與第9A圖的區域A1和線X1-X1相對應的截面圖,第9C圖是與第9A圖的線Y1-Y1相對應的截面圖。在第9B圖中,示出一個犧牲閘極結構50和相鄰的犧牲閘極結構50’的底部的截面。
在形成毯覆層53之後,在毯覆層53上進行非等向性蝕刻,例如使用反應離子蝕刻(reactive ion etching, RIE)。在非等向性蝕刻期間,大部分絕緣材料從水平面去除,在垂直表面上,例如犧牲閘極結構的側壁和露出的鰭片結構的側壁,留下介電間隔層。遮罩層58可以從側壁間隔物露出。在一些實施例中,可以隨後執行等向性蝕刻,以從露出的鰭片結構30的源極/汲極區的上部去除絕緣材料。
隨後,通過使用乾式蝕刻及/或濕式蝕刻,凹蝕源極/汲極區的鰭片結構至隔離絕緣層40的上表面下方。如第9A和9C圖所示,形成在露出的鰭片結構的源極/汲極區上的側壁間隔物55部分保留。然而,在其他實施例中,形成在露出的鰭片結構的源極/汲極區上的側壁間隔物55被完全去除。在此階段,如第9B圖所示,在犧牲閘極結構下的第一半導體層20和第二半導體層25的堆疊層的端部具有與側壁間隔物55齊平的大抵平坦的表面。在一些實施例中,第一半導體層20和第二半導體層25的堆疊層的端部被略微水平地蝕刻。
隨後,如第10A-10C圖所示,水平地凹蝕(蝕刻)第一半導體層20,使得第一半導體層20的邊緣大抵位於犧牲閘極電極層54的側面下方。如第10B圖所示,在犧牲閘極結構下的第一半導體層20的端部(邊緣)與犧牲閘極電極層54的側面大抵齊平。此處,“大抵齊平”是指相對位置的差異小於約1奈米。
在如第9A至9C圖所述第一半導體層20的凹蝕及/或第一半導體層和第二半導體層的凹蝕期間,第二半導體層25的端部也被水平蝕刻,如第10B圖所示。第一半導體層20的凹蝕量大於第二半導體層25的凹蝕量。
在一些實施例中,第一半導體層20從包括一個側壁間隔物的平面凹蝕的深度D1在大約5奈米至大約10奈米的範圍內,第二半導體層25從包括一個側壁間隔物的平面凹蝕深度D2在大約1奈米至大約4奈米的範圍內。在一些實施例中,深度D1與深度D2的深度差異D3在大約1奈米至大約9奈米的範圍內。
在特定的一些實施例中,不執行第一半導體層和第二半導體層的蝕刻(水平凹蝕)。在其他實施例中,第一半導體層和第二半導體層的蝕刻量大抵相同(差異小於約0.5奈米)。在一些實施例中,蝕刻面具有曲線形狀。
在使第一半導體層20水平地凹蝕之後,在第一和第二半導體層20、25凹蝕的表面上形成襯絕緣層,之後執行非等向性蝕刻以形成內部間隔物70,如第11A-11C圖所示。在一些實施例中,內部間隔物70是由一層或多層氧化矽、氮化矽、SiON、SiOC、SiOCN或任何其它合適的絕緣材料形成。在一些實施例中,在第二半導體層25凹蝕表面上的內部間隔物70的厚度在大約1奈米至大約4奈米的範圍內。
之後,如第12圖所示,形成源極/汲極(S/D)磊晶層80。源極/汲極磊晶層80包括用於n型通道FET的一層或多層Si、SiP、SiC及SiCP,或用於p型通道FET的一層或多層Si、SiGe、Ge。可以通過使用CVD、ALD或分子束磊晶(molecular beam epitaxy, MBE)的磊晶成長方法形成源極/汲極層80。在一些實施例中,如第10圖所示,磊晶層在隔離絕緣層的上方合併,並形成空隙82。在其他實施例中,磊晶層在不合併的情況下單獨形成在一個源極/汲極區上。
隨後,形成襯層90,之後形成層間介電(interlayer dielectric, ILD)層95,如第13圖所示。襯層90由氮化矽為主的材料,例如SiN形成,並且在隨後的蝕刻操作中用作接觸蝕刻停止層。ILD層95的材料包括包含Si、O、C及/或H的化合物,例如氧化矽、SiCOH及SiOC。可以將有機材料,例如聚合物用於ILD層95。在形成ILD層95之後,執行平坦化操作,例如CMP,從而露出犧牲閘極電極層54的頂部。
接續,如第14圖所示,去除犧牲閘極電極層54和犧牲閘極介電層52,從而露出鰭片結構。ILD層95在去除犧牲閘極結構的期間保護源極/汲極結構80。可以使用電漿乾式蝕刻及/或濕式蝕刻以去除犧牲閘極結構。當犧牲閘極電極層54是多晶矽,並且ILD層95是氧化矽時,可以使用濕式蝕刻劑,例如TMAH溶液來選擇性地去除犧牲閘極電極層54。之後,使用電漿乾式蝕刻及/或濕式蝕刻去除犧牲閘極介電層52。
在去除犧牲閘極結構之後,去除鰭片結構中的第一半導體層20,從而形成第二半導體層25的線,如第15A和15B圖所示。可以使用蝕刻劑去除或蝕刻第一半導體層20,上述蝕刻劑可以相對於第二半導體層25選擇性地蝕刻第一半導體層20。當第一半導體層20是Ge或SiGe,並且第二半導體層25是Si時,可以使用濕式蝕刻劑,例如但不限於氫氧化銨(ammonium hydroxide, NH4 OH)、四甲基氫氧化銨(tetramethylammonium hydroxide, TMAH)、乙二胺鄰苯二酚(ethylenediamine pyrocatechol, EDP)、鹽酸(hydrochloric acid, HCl)溶液或氫氧化鉀(potassium hydroxide, KOH)溶液,選擇性地去除第一半導體層20。在一些實施例中,濕式蝕刻劑進一步包含HF、C3 H8 O2 及C2 H4 O3 中的一種或多種。
如第16圖所示,在形成第二半導體層25的線或片之後,在每個通道層(第二半導體層25的線)周圍形成閘極介電層102,並在閘極介電層102上形成閘極電極層106。在某些實施例中,閘極介電層102包括一層或多層介電材料,例如,氧化矽、氮化矽或高介電常數介電材料、其他合適的介電材料及/或其組合。高介電常數介電材料的實例包括HfO2 、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2 -Al2 O3 )合金、其他合適的高介電常數介電材料及/或其組合。在一些實施例中,閘極介電層102包括形成在通道層和介電材料之間的界面層。
閘極介電層102可以通過CVD、ALD或任何合適的方法形成。在一實施例中,使用高度順應性沉積製程,例如ALD,來形成閘極介電層102,為了確保在每個通道層周圍形成具有均勻厚度的閘極介電層。在一個實施例中,閘極介電層102的厚度在約1奈米至約6奈米的範圍內。
閘極電極層106形成在閘極介電層102上以圍繞每個通道層。閘極電極106包括一層或多層導電材料,例如,多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN 、TaC、TaSiN、金屬合金、其他合適的材料及/或其組合。
閘極電極層106可以通過CVD、ALD、電鍍、或其他合適的方法形成。閘極電極層也沉積在ILD層95的上表面上。然後,通過使用例如CMP來平坦化形成在ILD層95上的閘極介電層和閘極電極層,直到露出ILD層95的頂表面為止。
平坦化操作之後,如第16圖所示,凹蝕閘極電極層106,並且在凹蝕的閘極電極106上方形成蓋絕緣層109。蓋絕緣層包括一層或多層以氮化矽為主的材料,例如SiN。可以通過沉積絕緣材料,之後進行平坦化操作以形成蓋絕緣層109 。
在本揭露的某些實施例中,一個或多個功函數調整層插入在閘極介電層102和閘極電極106之間。功函數調整層由導電材料形成,例如TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC的單層,或兩種或多種上述材料的多層。對於n型通道FET,將TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi以及TaSi中的一種或多種用作功函數調整層,對於p型通道FET,將TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC以及Co中的一種或多種用作功函數調整層。功函數調整層可以通過ALD、PVD、CVD、電子束揮發或其他合適的製程形成。此外,功函數調整層可以針對使用不同金屬層的n型通道FET和p型通道FET分別形成。
隨後,如第17圖所示,通過使用乾式蝕刻在ILD層95中形成接觸孔110。在一些實施例中,蝕刻源極/汲極磊晶層80的上部。如第18圖所示,在源極/汲極磊晶層80上方形成矽化物層120。矽化物層包括WSi、CoSi、NiSi、TiSi、MoSi以及TaSi中的一種或多種。之後,如第19圖所示,在接觸孔中形成導電材料130。導電材料130包括Co、Ni、W、Ti、Ta、Cu、Al、TiN以及TaN中的一種或多種。
應當理解,GAA FETs經過進一步的CMOS製程以形成各種部件,例如接觸件/導孔、互連金屬層、介電層、保護層等部件。
第20A、20B、20C、20D、20E、20F、20G和20H圖根據本揭露實施例,繪示GAA FET裝置的順序製程的各種階段。第20A-20H圖繪示形成用於nFET和pFET的金屬閘極結構的順序操作。應當理解,在順序製程中,可以在第20A-20H圖所示的階段之前、之中以及之後提供一個或多個額外操作,對於方法的其他實施例,以下描述的一些操作可以被替換或消除。操作/製程的順序可以互換。如在前述實施例中說明的材料、尺寸、配置、製程及/或操作可以在以下實施例中採用,並且可以省略其詳細說明。
在第一半導體層25的奈米結構(例如,奈米線、奈米片)被釋出在通道區中之後,在閘極空間中的第一半導體層25上形成界面層101。在一些實施例中,界面層101通過化學氧化形成。之後,通過ALD或CVD在界面層101上形成高介電常數閘極介電層102。隨後,如第20A圖所示,在閘極介電層102上形成犧牲層210,以填充相鄰奈米線或奈米片25之間的空間。相鄰奈米線或奈米片25之間的空間被犧牲層210完全填充。在一些實施例中,犧牲層210包括TiN、Ti、TaN以及Ta中的一種或多種。在特定的一些實施例中,將TiN用作犧牲層210。犧牲層210由CVD、ALD、PVD或其他合適的方法形成。在一些實施例中,犧牲層210的厚度在約0.1奈米至約40奈米的範圍內,並且在其他實施例中在約5奈米至約30奈米的範圍內,取決於相鄰奈米片25之間的空間。
在一些實施例中,奈米線或奈米片25的寬度W11在約10奈米至約100奈米的範圍內,並且在其他實施例中在約15奈米至約50奈米的範圍內。在一些實施例中,奈米線或奈米片25的厚度D11在約5奈米至約50奈米的範圍內,並且在其他實施例中在約10奈米至約30奈米的範圍內。在一些實施例中,相鄰奈米線或奈米片25之間的空間S11在大約5奈米至大約50奈米的範圍內,並且在其他實施例中,空間在大約10奈米至大約30奈米的範圍內。在一些實施例中,W11/D11在大約1至大約10的範圍內且在大約1.5至5的範圍內。在一些實施例中,W11大於D11。在一些實施例中,W11/D11為2.0或更高。
如第20B圖所示,在形成犧牲層210之後,除了在相鄰的奈米線或奈米片25之間形成的部分,在p型和n型區域上進行非等向性蝕刻以去除犧牲層210。在一些實施例中,使用電漿乾式刻蝕來去除犧牲層21的部分。在一些實施例中,乾式蝕刻包括在n型和p型邊界的約3秒至100秒的微調沉積時間。
接續,如第20C圖所示,形成緩衝層215。在一些實施例中,緩衝層215包括TiN、Ti、TaN和Ta中的一種或多種。在一些實施例中,緩衝層215由與犧牲層210相同的材料形成。在特定的一些實施例中,TiN用作緩衝層215。在一些實施例中,緩衝層215由CVD、ALD、PVD或其他合適的方法形成,其厚度在約0.1奈米至約1.0奈米的範圍內。
之後,如第20D圖所示,p型區域被第一遮罩層220覆蓋,然後從n型區域完全去除緩衝層215和犧牲層210。在一些實施例中,執行一個或多個電漿乾式蝕刻、化學乾式蝕刻和濕式蝕刻操作以去除緩衝層215和犧牲層210。當使用電漿乾式蝕刻時,在一些實施例中,過蝕刻時間在約3秒至100秒的範圍內。在一些實施例中,第一遮罩層220包括有機材料,例如光阻、底部抗反射層或無機材料,例如氮化矽。在某些實施例中,第一遮罩層220至少包括底部抗反射層。在蝕刻之後,去除第一遮罩層220。由於閘極介電層102被緩衝層215覆蓋,保護p型區域中的閘極介電層102免受由包括電漿製程(例如灰化)的遮罩去除製程引起的損壞。
然後,如第20E圖所示,對p型和n型區域進行非等向性蝕刻,以去除緩衝層215和犧牲層210的部分,而未去除在相鄰的奈米線或奈米片25之間形成的部分。
隨後,在n型區域和p型區域中的閘極介電層上形成一個或多個n型功函數調整材料層(n-type work function adjustment material layers, NWFM)。在一些實施例中,NWFM層包括第一NWFM層104-1和形成在第一NWFM層104-1上的第二NWFM層104-2。在一些實施例中,第一NWFM層104-1包括TiAlC或TiAl。在一些實施例中,第一NWFM層104-1包括TiAlC,其Al濃度在約20原子%至約25原子%的範圍內,Ti濃度在約30原子%至約35原子%的範圍內,並且C濃度在約40原子%至約50原子%的範圍內。在一些實施例中,Ti濃度小於Al濃度。在一些實施例中,第二NWFM層104-2包括TiN或TiSiN。在一些實施例中,調整第一NWFM層104-1的厚度,使得在相鄰的奈米線或奈米片25之間存在用於第二NWFM層的空間,並且第二NWFM層104-2完全填滿上述空間,如第20F圖所示。在一些實施例中,在空間中形成的第二NWFM層104-2的厚度小於在奈米片25的側面處形成的第二NWFM層104-2的厚度。在一些實施例中,第二NWFM層104-2的厚度在約10奈米至約50奈米的範圍內。
在其他實施例中,在空間中形成的第二NWFM層104-2的厚度等於或大於在奈米片25的側面處形成的第二NWFM層104-2的厚度。如第20F圖所示,由於p型區域中的相鄰奈米片25之間的空間被犧牲層210填充,防止了NWFM層在p型區域中的相鄰奈米片25之間的沉積。
然後,如第20G圖所示,用第二遮罩層230覆蓋n型區域,然後將形成在閘極介電層102上的所有層從p型區域完全去除。在一些實施例中,執行一個或多個電漿乾式蝕刻、化學乾式蝕刻和濕式蝕刻操作以去除NWFM層104-1、104-2、緩衝層215和犧牲層210。當使用電漿乾式蝕刻時,在一些實施例中,過蝕刻時間在約3秒至100秒的範圍內。在一些實施例中,第二遮罩層230包括有機材料,例如光阻,底部抗反射層或無機材料,例如氮化矽。在蝕刻之後,去除第二遮罩層230。由於閘極介電層102被NWFM層覆蓋,保護n型區域中的閘極介電層102不受由包括電漿製程(例如,灰化)的遮罩去除製程引起的損壞。
隨後,在n型區域和p型區域中的閘極介電層上形成一個或多個p型功函數調整材料層(p-type work function adjustment material layers, PWFM)。在一些實施例中,PWFM層包括在第二PWFM層104-4上形成的第一PWFM層104-3和第二PWFM層104-4。在一些實施例中,第一PWFM層104-3包括TiN或TiSiN。在一些實施例中,第二PWFM層104-4包括TaN。在一些實施例中,調整第一PWFM層104-3的厚度,使得在用於第二PWFM層的相鄰奈米線或奈米片25之間存在空間,並且第二PWFM層104-4完全填滿上述空間,如第20H圖所示。在一些實施例中,在空間中形成的第二PWFM層104-4的厚度小於在奈米片25的側面處形成的第二PWFM層104-4的厚度。在其他實施例中,在上述空間中形成的第二PWFM層104-4的厚度等於或大於在奈米片25的側面處形成的第二PWFM層104-4的厚度。如第20H圖所示,由於在n型區域中相鄰的奈米片25之間的空間被NWFM層填充,防止了n型區域中的相鄰奈米片25之間的PWFM層的沉積。
在一些實施例中,在形成用於p型FET的閘極結構的金屬層之前,形成用於n型FET的閘極結構的金屬層,以防止用於n型FET的一個或多個金屬層彼此接觸。在一些實施例中,通過使用CVD、PVD及/或ALD形成用於n型FET和p型FET的金屬層。在特定的一些實施例中,使用ALD。在一些實施例中,首先通過ALD在閘極介電層上形成用於n型FET的金屬層,然後形成用於p型FET的金屬層,其可以防止Al相互擴散。
第20I圖根據本揭露的一些實施例,繪示用於形成TiAl或TiAlC層的ALD順序。在一些實施例中,Al前驅物(源氣體)為三乙基鋁(triethylaluminium, TEA),而Ti前驅物為TiCl4 ,其可以用Ar稀釋。如第20I圖所示,前驅物具有脈衝順序。在一些實施例中,每個氣體脈衝的持續時間在約3秒至約20秒的範圍內。在一些實施例中,脈衝順序重複2至5次。前驅物的順序可以互換。在一些實施例中,用於閘極電極的金屬層通過ALD在約200℃至約600℃範圍內的製程溫度下形成。
在一些實施例中,如第20J圖所示,在ALD製程期間從晶圓台或基板的背向供應背向氣體。在一些實施例中,背向氣體為氮氣。在一些實施方式中,背向氣體的流量為恆定的,並且在大約5sccm至15sccm的範圍內,其提高TiAl或TiAlC層的厚度均勻性。
在一些實施例中,在TiN及/或TaN層的ALD製程中,可以通過調整一種或多種源氣體,例如TiCl4 、NH3 、五(二甲基氨基)鉭(V)(pentakis(dimethylamino)tantalum, PDMAT),的流量來控制TiN及/或TaN層的組成及/或厚度。在一些實施例中,對於p型FET的金屬層,TiCl4 及/或PDMAT氣流的流速在約50sccm至約100sccm的範圍內。在一些實施例中,用於閘極電極的金屬層通過ALD在約200℃至約600℃範圍內的製程溫度下形成。在一些實施例中,用於p型FET的金屬層的NH3 氣流在約50sccm至約50000sccm的範圍內。在約0.0001Torr至約1Torr範圍內的壓力下沉積用於p型FET的金屬層。在一些實施例中,形成金屬層中的主要製程壓力在約4Torr至約20Torr的範圍內。
第21A、21B、21C、21D和21E圖根據本揭露實施例,繪示GAA FET裝置的順序製程的各種階段。第21A-21E圖繪示在形成WFM層之前對閘極介電層102進行的處理。
第21A圖示出在形成閘極介電層102之後的結構。如第21B圖所示,形成金屬層250。在一些實施例中,第一層250由TiN或TiSiN形成。在形成金屬層250之後,執行退火操作。之後,如第21C圖所示,通過例如ALD在金屬層250上形成矽層255。在一些實施方案中,通過控制矽源氣體(例如SiH4 、SiH2 Cl2 及/或Si2 H6 )的氣流來控制TiSiN膜中的Si含量。在一些實施例中,矽層255是非晶或多晶矽。矽層255完全填充相鄰奈米線或奈米片25之間的空間。在形成矽層255之後,執行退火操作。之後,如第21D圖所示,去除矽層255,如第21E圖所示,去除金屬層250。在一些實施例中,在形成矽層255之後,並且在去除矽層255之前,執行將氟引入閘極介電層的氟浸泡製程。
在一些實施例中,第一層250(例如,TiN)和Si層255防止Al穿過在閘極結構中形成的接縫。在一些實施例中,Si層防止Al及/或Ti消耗界面層的氧,並改善裝置的擊穿電壓(breakdown voltage, Vbd)。在一些實施例中,Si層255的厚度在約0.1奈米至約10奈米的範圍內。
第22A-22C圖示出第19圖的GAA FET的截面圖。第22A圖示出沿Y方向切割閘極的截面圖,第22B圖示出沿X方向切割閘極的截面圖,第22C圖示出沿Y方向切割源極/汲極區的截面圖。
如第22A圖所示,由第二半導體層25形成的線在Z方向上堆疊。應注意的是,當去除第一半導體層20時,也可以蝕刻第二半導體層25,因此第二半導體層25的角被圓化(rounded)。界面層101包繞每條線,並且閘極介電層102覆蓋界面層101。儘管在第22A圖中纏繞在一個線周圍的閘極介電層120B與相鄰導線的閘極介電層120B接觸,但是上述結構不限於第22A圖所示。在其他實施例中,閘極電極104還包繞由界面層101和閘極介電層102覆蓋的每條線。如第22B圖所示,內部間隔物70形成在源極/汲極磊晶層80和線(第二半導體層25)之間。
在本揭露一些實施例中,當形成NWFM層或PWFM層時,p型區域或n型區域中的相鄰奈米片之間的空間被犧牲層或其他層完全填充,因此在相鄰的奈米片之間不會形成不必要的WFM層。另外,每個NWFM層和PWFM層完全包繞每個奈米片。此外,由於在PWFM層之前形成了NWFM層,所以可以為n型FET獲得期望的功函數(例如,約4.44eV至約4.56eV)。
應理解的是,不須在本揭露中討論所有益處,所有實施例或示例不須具備特定的益處,並且其他實施例或示例可以提供不同的益處。
根據本揭露的一方面,提供一種製造半導體裝置的方法,包括:提供半導體層於基板上,半導體層垂直地排列,且相鄰的半導體層之間具有間隔;在每個半導體層的周圍形成界面層;在每個半導體層周圍的界面層上形成介電層;在介電層上形成第一導電層;去除第一導電層,使得介電層露出;在露出的介電層上形成第二導電層,從而使相鄰的半導體層之間的間隔未被第二導電層完全填充;在第二導電層上形成第三導電層,使得相鄰的半導體層之間的間隔被第三導電層填充,並且半導體層為半導體線或半導體片。
在一個或多個前述和以下的實施例中,在形成第一導電層與去除第一導電層之間更包括:修整第一導電層,從而使第一導電層保留在相鄰的半導體層之間的間隔,並且露出介電層的一部分;在修整第一導電層之後,形成額外導電層;以及去除額外導電層。
在一個或多個前述和以下的實施例中,額外導電層與第一導電層係由相同的材料形成。
在一個或多個前述和以下的實施例中,第一導電層與額外導電層係由TiN形成。
在一個或多個前述和以下的實施例中,額外導電層係藉由原子層沉積形成。
在一個或多個前述和以下的實施例中,第二導電層係由TiAl或TiAlC形成。
在一個或多個前述和以下的實施例中,第二導電層中的Al濃度在20原子%至25原子%的範圍。
在一個或多個前述和以下的實施例中,第二導電層中的Ti濃度在30原子%至35原子%的範圍。
在一個或多個前述和以下的實施例中,Ti濃度小於Al濃度。
在一個或多個前述和以下的實施例中,第二導電層為TiAlC,且第二導電層的C濃度在40原子%至50原子%的範圍。
在一個或多個前述和以下的實施例中,第三導電層係由TiN或TiSiN形成。
在一個或多個前述和以下的實施例中,更包括:在第三導電層上形成第四導電層;以及在第四導電層上形成第五導電層,其中第四導電層並未設置在相鄰的半導體層之間的間隔中。
在一個或多個前述和以下的實施例中,半導體裝置為n型場效電晶體(field effect transistor, FET),以及第四導電層係由TiN形成,且第五導電層係由TaN形成。
在一個或多個前述和以下的實施例中,半導體裝置為p型FET,以及第二導電層係由TiN形成,且第三導電層係由TaN形成。
在一個或多個前述和以下的實施例中,每個半導體片的厚度D以及寬度W滿足以下關係:1 < W/D ≤ 10。
根據本揭露的另一方面,提供一種製造半導體裝置的方法,包括:提供半導體層於基板上,半導體層垂直地排列,且相鄰的半導體層之間具有間隔;在每個半導體層的周圍形成界面層;在每個半導體層周圍的界面層上形成介電層;在介電層上形成第一導電層;修整第一導電層,從而使第一導電層保留在相鄰的半導體層之間的間隔,並且露出介電層的一部分;在修整第一導電層之後,形成額外導電層;至少去除額外導電層,使得第一導電層保留在相鄰的半導體層之間的間隔,並且露出介電層的一部分;去除第一導電層,使得介電層露出;在露出的介電層上形成第二導電層;在第二導電層上形成第三導電層,並且半導體層為半導體線或半導體片。
在一個或多個前述和以下的實施例中,額外導電層與第一導電層係由相同的材料形成。
在一個或多個前述和以下的實施例中,第一導電層與額外導電層係由TiN形成。
在一個或多個前述和以下的實施例中,額外導電層係藉由原子層沉積形成。
在一個或多個前述和以下的實施例中,第二導電層係由TiN或TiSiN形成。
在一個或多個前述和以下的實施例中,第三導電層係由TaN形成。
在一個或多個前述和以下的實施例中,每個半導體片的厚度D以及寬度W滿足以下關係:1 < W/D ≤ 10。
根據本揭露的一方面,提供一種製造半導體裝置的方法,包括:包括:提供第一半導體層於基板上,第一半導體層垂直地排列,且相鄰的第一半導體層之間具有間隔;提供第二半導體層於基板上,第二半導體層垂直地排列,且相鄰的第二半導體層之間具有間隔;在每個第一與第二半導體層的周圍形成界面層;在每個第一與第二半導體層周圍的界面層上形成介電層;在每個第一與第二半導體層周圍的介電層上形成第一導電層;修整第一導電層,從而使第一導電層保留在相鄰的第一半導體層之間的間隔,並且露出在第一半導體層上的介電層的一部分;並且第一導電層保留在相鄰的第二半導體層之間的間隔,並且露出在第二半導體層上的介電層的一部分;在修整第一導電層之後,在第一與第二半導體層處形成額外導電層;第二半導體層被第一覆蓋層覆蓋;從第一半導體層去除額外導電層和第一導電層,同時用第一覆蓋層覆蓋第二半導體層,從而露出第一半導體層上的介電層;去除第一覆蓋層;在每個第一半導體層周圍並在第二半導體層上的露出的介電層上形成第二導電層;在第二導電層上形成第三導電層;第一半導體層被第二覆蓋層覆蓋。在用第一覆蓋層覆蓋第一半導體層的同時,從第二半導體層去除第一導電層,從而露出第二半導體層上的介電層;去除第二覆蓋層;第四導電層形成在每個第二半導體層周圍的露出的介電層上,並且形成在第一半導體層上的第三導電層上;在第四導電層上形成第五導電層;半導體層為半導體線或片。
在一個或多個前述和以下的實施例中,形成第二導電層,使得相鄰的第一半導體層之間的間隔未被第二導電層完全填充,並形成第三導電層,使得相鄰的第一半導體層之間的間隔被第三導電層填充。
在一個或多個前述和以下的實施例中,形成第四導電層,使得相鄰的第二半導體層之間的間隔不被第四導電層完全填充,並形成第五導電層,使得相鄰的第二半導體層之間的間隔被第五導電層填充。
根據本揭露的一方面,提供一種製造半導體裝置,方法包括:在設置在基板上的底部鰭片結構上,提供用於n型FET的第一組半導體層,以及用於p型FET的第二組半導體層,每個半導體層垂直地排列,且相鄰的半導體層之間具有間隔;在第一組中與第二組中的每個半導體層的周圍形成介電層;利用犧牲層填充在第二組中的半導體層之間的間隔;在第一組中的半導體層的周圍形成一個或多個n型功函數調整層,使得在第一組中的半導體層之間的間隔被一個或多個n型功函數調整層完全填充;在形成一個或多個n型功函數調整層之後,從第二組去除犧牲層;以及在第二組中的半導體層的周圍形成一個或多個p型功函數調整層,半導體層為半導體線或半導體片。
在一個或多個前述和以下的實施例中,犧牲層係由TiN形成。
在一個或多個前述和以下的實施例中,填充在第二組中的半導體層之間的間隔包括:在介電層上形成TiN層;修整TiN層,使得TiN層保留在間隔,且露出介電層的一部分;在修整TiN層之後,形成一額層;以及去除至少額外層,使得TiN層保留在間隔,且露出介電層的一部分。
在一個或多個前述和以下的實施例中,額外層係藉由原子層沉積形成。
根據本揭露的另一方面,提供一種半導體裝置,包括:半導體奈米片,以垂直方向排列在基板上;閘極介電層,包繞每個半導體奈米片的通道區;第一金屬層,設置在閘極介電層上,並且包繞每個半導體奈米片的通道區;第二金屬層,設置在第一金屬層上,並且包繞每個半導體奈米片的通道區;以及第三金屬層,設置在第二金屬層上,其中第二金屬層完全填充半導體奈米片中相鄰的半導體奈米片之間的間隔,每個半導體奈米片被第一金屬層以及閘極介電層包繞。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
10:基板 11:阱部 12:摻質 15:遮罩層 20:第一半導體層 25:第二半導體層 30:鰭片結構 35:第一襯層 40:隔離絕緣層 41:絕緣材料層 50:犧牲閘極結構 52:犧牲閘極介電層 53:毯覆層 54:犧牲閘極電極 55:側壁間隔物 56:墊SiN層 58:氧化矽遮罩層 70:內部間隔物 80:源極/汲極磊晶層 82:空隙 90:襯層 95:層間介電層 101:界面層 102:閘極介電層 106:閘極電極層 109:蓋絕緣層 110:接觸孔 120:矽化物層 130:導電材料 210:犧牲層 215:緩衝層 220:第一遮罩層 230:第二遮罩層 250:金屬層 255:矽層 104-1:功函數調整材料層 104-2:功函數調整材料層 104-3:功函數調整材料層 104-4:功函數調整材料層 15A:第一遮罩層 15B:第二遮罩層 50':犧牲閘極結構 D1:深度 D11:厚度 D2:深度 D3:深度差異 H1:高度 S11:空間 W1:寬度 W11:寬度
以下將配合所附圖示詳述本揭露之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小單元的尺寸,以清楚地表現出本揭露的特徵。 第1圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第2圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第3圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第4圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第5圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第6圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第7圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第8圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第9A、9B以及9C圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第10A、10B以及10C圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第11A、11B以及11C圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第12圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第13圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第14圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第15A以及15B圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第16圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第17圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第18圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第19圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段的其中一個階段。 第20A、20B、20C、20D、20E、20F、20G以及20H圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段。 第20I圖繪示ALD的製程順序。第20J圖繪示ALD的製程設備。 第21A、21B、21C、21D以及21E圖係根據本揭露的一些實施例,繪示GAA FET裝置的順序製程的各種階段。 第22A、22B以及22C圖繪示第19圖的GAA FET的截面圖。
10:基板
35:第一襯層
40:隔離絕緣層
55:側壁間隔物
80:源極/汲極磊晶層
90:襯層
95:層間介電層
102:閘極介電層
106:閘極電極層
109:蓋絕緣層
120:矽化物層
130:導電材料

Claims (15)

  1. 一種製造半導體裝置的方法,包括:提供多個半導體層於一基板上,該些半導體層垂直地排列,且相鄰的半導體層之間具有一間隔;在每個半導體層的周圍形成一界面層;在每個半導體層周圍的該界面層上形成一介電層;在該介電層上形成一第一導電層;去除該第一導電層,使得該介電層露出;在露出的該介電層上形成一第二導電層,使得相鄰的半導體層之間的該間隔未被該第二導電層完全填充;在該第二導電層上形成一第三導電層,使得相鄰的半導體層之間的該間隔被該第三導電層填充,其中:該些半導體層為半導體線或半導體片。
  2. 如請求項1所述之製造半導體裝置的方法,在形成該第一導電層與去除該第一導電層之間更包括:修整該第一導電層,從而使該第一導電層保留在相鄰的半導體層之間的該間隔,並且露出該介電層的一部分;在修整該第一導電層之後,形成一額外導電層;以及去除該額外導電層。
  3. 如請求項2所述之製造半導體裝置的方法,其中該第一導電層與該額外導電層係由TiN形成。
  4. 如請求項1至3任一項所述之製造半導體裝置的方法,其中該 第二導電層係由TiAl或TiAlC形成。
  5. 如請求項4所述之製造半導體裝置的方法,其中該第二導電層中的一Al濃度在20原子%至25原子%的範圍。
  6. 如請求項5所述之製造半導體裝置的方法,其中該第二導電層中的一Ti濃度在30原子%至35原子%的範圍。
  7. 如請求項5所述之製造半導體裝置的方法,其中該Ti濃度小於該Al濃度。
  8. 如請求項5所述之製造半導體裝置的方法,其中該第二導電層為TiAlC,且該第二導電層的一C濃度在40原子%至50原子%的範圍。
  9. 如請求項1至3任一項所述之製造半導體裝置的方法,其中該第三導電層係由TiN或TiSiN形成。
  10. 如請求項1至3任一項所述之製造半導體裝置的方法,更包括:在該第三導電層上形成一第四導電層;以及在該第四導電層上形成一第五導電層,其中該第四導電層並未設置在相鄰的半導體層之間的該間隔中。
  11. 如請求項10所述之製造半導體裝置的方法,其中:該半導體裝置為一n型場效電晶體(field effect transistor,FET),以及該第四導電層係由TiN形成,且該第五導電層係由TaN形成。
  12. 如請求項1所述之製造半導體裝置的方法,其中:該半導體裝置為一p型FET,以及該第二導電層係由TiN形成,且該第三導電層係由TaN形成。
  13. 如請求項1所述之製造半導體裝置的方法,其中每個該些半導體片的一厚度D以及一寬度W滿足以下關係:1<W/D
    Figure 109147004-A0305-02-0035-1
    10。
  14. 一種製造半導體裝置的方法,包括:在設置在一基板上的一底部鰭片結構上,提供用於一n型FET的一第一組半導體層,以及用於一p型FET的一第二組半導體層,每個半導體層垂直地排列,且相鄰的半導體層之間具有一間隔;在該第一組中與該第二組中的每個半導體層的周圍形成一介電層;利用一犧牲層填充在該第二組中的該些半導體層之間的多個間隔;在該第一組中的該些半導體層的周圍形成一個或多個n型功函數調整層,使得在該第一組中的該些半導體層之間的多個間隔被該一個或多個n型功函數調整層完全填充;在形成該一個或多個n型功函數調整層之後,從該第二組去除該犧牲層;以及在該第二組中的該些半導體層的周圍形成一個或多個p型功函數調整層,其中:該些半導體層為半導體線或半導體片。
  15. 一種半導體裝置,包括:複數個半導體奈米片,以一垂直方向排列在一基板上;一閘極介電層,包繞每個半導體奈米片的一通道區;一第一金屬層,設置在該閘極介電層上,並且包繞每個半導體奈米片的該通道區;一第二金屬層,設置在該第一金屬層上,並且包繞每個半導體奈米片的該通 道區;以及一第三金屬層,設置在該第二金屬層上,其中該第二金屬層完全填充該複數個半導體奈米片中相鄰的半導體奈米片之間的一間隔,每個半導體奈米片被該第一金屬層以及該閘極介電層包繞。
TW109147004A 2019-12-31 2020-12-31 半導體裝置及其製造方法 TWI770748B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962955804P 2019-12-31 2019-12-31
US62/955,804 2019-12-31
US16/937,732 2020-07-24
US16/937,732 US11410889B2 (en) 2019-12-31 2020-07-24 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW202139366A TW202139366A (zh) 2021-10-16
TWI770748B true TWI770748B (zh) 2022-07-11

Family

ID=76310470

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109147004A TWI770748B (zh) 2019-12-31 2020-12-31 半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US20220352035A1 (zh)
DE (1) DE102020121101A1 (zh)
TW (1) TWI770748B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11211381B2 (en) * 2019-01-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170221889A1 (en) * 2016-02-02 2017-08-03 Globalfoundries Inc. Gate stack for integrated circuit structure and method of forming same
US20180226484A1 (en) * 2017-02-03 2018-08-09 International Business Machines Corporation Uniform threshold voltage for nanosheet devices
US20190237336A1 (en) * 2018-01-30 2019-08-01 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170221889A1 (en) * 2016-02-02 2017-08-03 Globalfoundries Inc. Gate stack for integrated circuit structure and method of forming same
US20180226484A1 (en) * 2017-02-03 2018-08-09 International Business Machines Corporation Uniform threshold voltage for nanosheet devices
US20190237336A1 (en) * 2018-01-30 2019-08-01 International Business Machines Corporation Gate metal patterning to avoid gate stack attack due to excessive wet etching

Also Published As

Publication number Publication date
TW202139366A (zh) 2021-10-16
DE102020121101A1 (de) 2021-07-01
US20220352035A1 (en) 2022-11-03

Similar Documents

Publication Publication Date Title
US20210265464A1 (en) Semiconductor device and manufacturing method thereof
US11776852B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
TWI742435B (zh) 半導體裝置及其形成方法
CN109727916B (zh) 半导体装置的制造方法
US9711608B1 (en) Semiconductor device and manufacturing method thereof
US11101360B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US20230411215A1 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11855211B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
TWI801933B (zh) 積體電路裝置及其製造方法
TWI795748B (zh) 半導體元件的製造方法及半導體元件
TWI707407B (zh) 半導體元件及其製造方法
TWI770748B (zh) 半導體裝置及其製造方法
US11984483B2 (en) Semiconductor device and method of manufacturing thereof
TW202213642A (zh) 半導體裝置及其製造方法
KR102501422B1 (ko) 반도체 디바이스 및 그 제조 방법
TWI840770B (zh) 半導體裝置及其製造方法
TW202412113A (zh) 半導體裝置及其製造方法
TW202127511A (zh) 半導體裝置的形成方法
CN116978935A (zh) 半导体结构及其形成方法