TWI840770B - 半導體裝置及其製造方法 - Google Patents
半導體裝置及其製造方法 Download PDFInfo
- Publication number
- TWI840770B TWI840770B TW111110397A TW111110397A TWI840770B TW I840770 B TWI840770 B TW I840770B TW 111110397 A TW111110397 A TW 111110397A TW 111110397 A TW111110397 A TW 111110397A TW I840770 B TWI840770 B TW I840770B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- source
- region
- drain
- drain region
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 220
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 18
- 238000005530 etching Methods 0.000 claims abstract description 127
- 239000000758 substrate Substances 0.000 claims abstract description 91
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims abstract description 62
- 229910052796 boron Inorganic materials 0.000 claims abstract description 59
- 238000000151 deposition Methods 0.000 claims abstract description 40
- 229910021332 silicide Inorganic materials 0.000 claims abstract description 38
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims abstract description 37
- 239000010410 layer Substances 0.000 claims description 598
- 238000000034 method Methods 0.000 claims description 274
- 230000008569 process Effects 0.000 claims description 220
- 239000011229 interlayer Substances 0.000 claims description 92
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 29
- 229910052751 metal Inorganic materials 0.000 claims description 24
- 239000002184 metal Substances 0.000 claims description 24
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 24
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 16
- 239000011737 fluorine Substances 0.000 claims description 16
- 229910052731 fluorine Inorganic materials 0.000 claims description 16
- 230000008021 deposition Effects 0.000 claims description 15
- 238000000137 annealing Methods 0.000 claims description 13
- 238000001020 plasma etching Methods 0.000 claims description 9
- 229910052810 boron oxide Inorganic materials 0.000 claims description 3
- JKWMSGQKBLHBQQ-UHFFFAOYSA-N diboron trioxide Chemical compound O=BOB=O JKWMSGQKBLHBQQ-UHFFFAOYSA-N 0.000 claims description 3
- 239000002086 nanomaterial Substances 0.000 description 205
- 239000000463 material Substances 0.000 description 159
- 125000006850 spacer group Chemical group 0.000 description 150
- 238000002955 isolation Methods 0.000 description 62
- 230000005669 field effect Effects 0.000 description 50
- 239000012535 impurity Substances 0.000 description 48
- 239000011810 insulating material Substances 0.000 description 46
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 44
- 229910052732 germanium Inorganic materials 0.000 description 43
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 42
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 42
- 229910052710 silicon Inorganic materials 0.000 description 42
- 239000010703 silicon Substances 0.000 description 42
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 40
- 238000005229 chemical vapour deposition Methods 0.000 description 39
- 238000002513 implantation Methods 0.000 description 33
- 229920002120 photoresistant polymer Polymers 0.000 description 32
- 239000003989 dielectric material Substances 0.000 description 28
- 229910045601 alloy Inorganic materials 0.000 description 26
- 239000000956 alloy Substances 0.000 description 26
- 238000000231 atomic layer deposition Methods 0.000 description 23
- 238000005240 physical vapour deposition Methods 0.000 description 17
- 229910052581 Si3N4 Inorganic materials 0.000 description 16
- 239000002135 nanosheet Substances 0.000 description 16
- 238000000206 photolithography Methods 0.000 description 15
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 15
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 14
- 238000011049 filling Methods 0.000 description 14
- 238000001312 dry etching Methods 0.000 description 13
- 239000000126 substance Substances 0.000 description 12
- 239000010936 titanium Substances 0.000 description 12
- -1 Si x Ge 1-x Chemical compound 0.000 description 11
- 238000005137 deposition process Methods 0.000 description 11
- 238000011065 in-situ storage Methods 0.000 description 11
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 11
- 238000007789 sealing Methods 0.000 description 11
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 10
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 10
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 10
- 229910017052 cobalt Inorganic materials 0.000 description 10
- 239000010941 cobalt Substances 0.000 description 10
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 10
- 238000000059 patterning Methods 0.000 description 10
- 229910052719 titanium Inorganic materials 0.000 description 10
- 150000001875 compounds Chemical class 0.000 description 9
- 239000005360 phosphosilicate glass Substances 0.000 description 9
- 238000005498 polishing Methods 0.000 description 9
- 229910052782 aluminium Inorganic materials 0.000 description 8
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 8
- 239000004020 conductor Substances 0.000 description 8
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 8
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 8
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 8
- 229910010271 silicon carbide Inorganic materials 0.000 description 8
- 229910003468 tantalcarbide Inorganic materials 0.000 description 8
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 7
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 7
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 6
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 6
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 6
- 239000010949 copper Substances 0.000 description 6
- 239000002019 doping agent Substances 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- 239000007789 gas Substances 0.000 description 6
- 239000010931 gold Substances 0.000 description 6
- 239000011572 manganese Substances 0.000 description 6
- 229910044991 metal oxide Inorganic materials 0.000 description 6
- 150000004706 metal oxides Chemical class 0.000 description 6
- 229910052759 nickel Inorganic materials 0.000 description 6
- 239000000376 reactant Substances 0.000 description 6
- 229910052707 ruthenium Inorganic materials 0.000 description 6
- 239000002356 single layer Substances 0.000 description 6
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 6
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 6
- 229910052721 tungsten Inorganic materials 0.000 description 6
- 239000010937 tungsten Substances 0.000 description 6
- 229910005540 GaP Inorganic materials 0.000 description 5
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 5
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 5
- 238000004380 ashing Methods 0.000 description 5
- 229910000085 borane Inorganic materials 0.000 description 5
- 239000005388 borosilicate glass Substances 0.000 description 5
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 229910052709 silver Inorganic materials 0.000 description 5
- 239000004332 silver Substances 0.000 description 5
- 238000001039 wet etching Methods 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 4
- 229910052737 gold Inorganic materials 0.000 description 4
- 229910052738 indium Inorganic materials 0.000 description 4
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 4
- 230000007935 neutral effect Effects 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- 230000008439 repair process Effects 0.000 description 4
- 238000004528 spin coating Methods 0.000 description 4
- 229910052715 tantalum Inorganic materials 0.000 description 4
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 4
- 238000000927 vapour-phase epitaxy Methods 0.000 description 4
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 3
- 101001121408 Homo sapiens L-amino-acid oxidase Proteins 0.000 description 3
- 229910000673 Indium arsenide Inorganic materials 0.000 description 3
- 102100026388 L-amino-acid oxidase Human genes 0.000 description 3
- PWHULOQIROXLJO-UHFFFAOYSA-N Manganese Chemical compound [Mn] PWHULOQIROXLJO-UHFFFAOYSA-N 0.000 description 3
- AUCDRFABNLOFRE-UHFFFAOYSA-N alumane;indium Chemical compound [AlH3].[In] AUCDRFABNLOFRE-UHFFFAOYSA-N 0.000 description 3
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 230000009969 flowable effect Effects 0.000 description 3
- SCCCLDWUZODEKG-UHFFFAOYSA-N germanide Chemical compound [GeH3-] SCCCLDWUZODEKG-UHFFFAOYSA-N 0.000 description 3
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 229910052748 manganese Inorganic materials 0.000 description 3
- 230000000873 masking effect Effects 0.000 description 3
- 238000001451 molecular beam epitaxy Methods 0.000 description 3
- 239000002070 nanowire Substances 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 229910052697 platinum Inorganic materials 0.000 description 3
- 230000000717 retained effect Effects 0.000 description 3
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 3
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium(II) oxide Chemical compound [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 3
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- 229910015900 BF3 Inorganic materials 0.000 description 2
- 229910000881 Cu alloy Inorganic materials 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 2
- CHYRFIXHTWWYOX-UHFFFAOYSA-N [B].[Si].[Ge] Chemical compound [B].[Si].[Ge] CHYRFIXHTWWYOX-UHFFFAOYSA-N 0.000 description 2
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 229910052787 antimony Inorganic materials 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 229910052788 barium Inorganic materials 0.000 description 2
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 2
- WTEOIRVLGSZEPR-UHFFFAOYSA-N boron trifluoride Chemical compound FB(F)F WTEOIRVLGSZEPR-UHFFFAOYSA-N 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000001934 delay Effects 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- IWTIUUVUEKAHRM-UHFFFAOYSA-N germanium tin Chemical compound [Ge].[Sn] IWTIUUVUEKAHRM-UHFFFAOYSA-N 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 229910052741 iridium Inorganic materials 0.000 description 2
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 2
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 2
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 2
- 229910021334 nickel silicide Inorganic materials 0.000 description 2
- 229910052758 niobium Inorganic materials 0.000 description 2
- 239000010955 niobium Substances 0.000 description 2
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 2
- 239000012811 non-conductive material Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 229910021341 titanium silicide Inorganic materials 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- IHGSAQHSAGRWNI-UHFFFAOYSA-N 1-(4-bromophenyl)-2,2,2-trifluoroethanone Chemical compound FC(F)(F)C(=O)C1=CC=C(Br)C=C1 IHGSAQHSAGRWNI-UHFFFAOYSA-N 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- XOTVYQNEABUPLI-UHFFFAOYSA-N [Si].[Bi]=O Chemical compound [Si].[Bi]=O XOTVYQNEABUPLI-UHFFFAOYSA-N 0.000 description 1
- RVSGESPTHDDNTH-UHFFFAOYSA-N alumane;tantalum Chemical compound [AlH3].[Ta] RVSGESPTHDDNTH-UHFFFAOYSA-N 0.000 description 1
- LVQULNGDVIKLPK-UHFFFAOYSA-N aluminium antimonide Chemical compound [Sb]#[Al] LVQULNGDVIKLPK-UHFFFAOYSA-N 0.000 description 1
- MDPILPRLPQYEEN-UHFFFAOYSA-N aluminium arsenide Chemical compound [As]#[Al] MDPILPRLPQYEEN-UHFFFAOYSA-N 0.000 description 1
- HVXCTUSYKCFNMG-UHFFFAOYSA-N aluminum oxygen(2-) zirconium(4+) Chemical compound [O-2].[Zr+4].[Al+3] HVXCTUSYKCFNMG-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 229910000416 bismuth oxide Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- DDHRUTNUHBNAHW-UHFFFAOYSA-N cobalt germanium Chemical compound [Co].[Ge] DDHRUTNUHBNAHW-UHFFFAOYSA-N 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- TYIXMATWDRGMPF-UHFFFAOYSA-N dibismuth;oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[Bi+3].[Bi+3] TYIXMATWDRGMPF-UHFFFAOYSA-N 0.000 description 1
- OXTURSYJKMYFLT-UHFFFAOYSA-N dichlorogermane Chemical compound Cl[GeH2]Cl OXTURSYJKMYFLT-UHFFFAOYSA-N 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- TXFYZJQDQJUDED-UHFFFAOYSA-N germanium nickel Chemical compound [Ni].[Ge] TXFYZJQDQJUDED-UHFFFAOYSA-N 0.000 description 1
- PDSAKIXGSONUIX-UHFFFAOYSA-N hexaaluminum;dibismuth;oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[O-2].[O-2].[O-2].[O-2].[O-2].[O-2].[O-2].[Al+3].[Al+3].[Al+3].[Al+3].[Al+3].[Al+3].[Bi+3].[Bi+3] PDSAKIXGSONUIX-UHFFFAOYSA-N 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012774 insulation material Substances 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 229910000510 noble metal Inorganic materials 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000012856 packing Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 238000011417 postcuring Methods 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 150000002910 rare earth metals Chemical class 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000009966 trimming Methods 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Abstract
一種半導體裝置的製造方法包含形成第一半導體鰭片在基材上;形成汲極/源極區域在第一半導體鰭片內;沉積覆蓋層在源極/汲極區域上,其中覆蓋層包含之第一硼濃度大於源極/汲極區域的第二硼濃度;蝕刻開口穿過覆蓋層,其中開口暴露源極/汲極區域;形成矽化物層在被暴露的源極/汲極區域上;以及形成源極/汲極接點在矽化物層上。
Description
本揭露是關於一種半導體裝置及其製造方法,特別是關於一種具有富硼覆蓋層之半導體裝置及其製造方法。
半導體裝置係用於各種電子應用中,例如個人電腦、手機、數位相機及其他電子設備。半導體裝置的製造一般係藉由連續地沉積絕緣層或介電層、導電層及半導體層的材料在半導體基材上,並利用微影來圖案化各種材料層,以形成於其上的電路組件及元件。
半導體工業藉由最小特徵尺寸的持續縮減而繼續優化各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度,其使得更多組件整合至給定區域中。
本揭露之一態樣係提供一種半導體裝置的製造方法,其包含形成第一半導體鰭片在基材上;形成汲極/源極區域在第一半導體鰭片內;沉積覆蓋層在源極/汲極區域上,
其中覆蓋層包含之第一硼濃度大於源極/汲極區域的第二硼濃度;蝕刻開口穿過覆蓋層,其中開口暴露源極/汲極區域;形成矽化物層在被暴露的源極/汲極區域上;以及形成源極/汲極接點在矽化物層上。
本揭露之另一態樣係提供一種半導體裝置的製造方法,其包含沉積覆蓋層在源極/汲極區域上,其中在源極/汲極區域之第一側壁上的覆蓋層之第一厚度係大於在源極/汲極區域之第二側壁上的覆蓋層之第二厚度,且第一側壁係在第二側壁之上;沉積接觸蝕刻中止層在源極/汲極區域上;形成層間介電質在接觸蝕刻中止層上;形成接觸開口穿過層間介電質、接觸蝕刻中止層及覆蓋層,其中接觸開口暴露源極/汲極區域;以及形成源極/汲極接點在接觸開口內。
本揭露之再一態樣係提供一種半導體裝置,其包含在基材之通道區域上的閘極結構;鄰接通道區域的源極/汲極區域;在源極/汲極區域之第一部分上的覆蓋層,其中覆蓋層之第一硼濃度高於源極/汲極區域之第二硼濃度;在源極/汲極區域之第二部分上的矽化物;以及透過矽化物電性連接至源極/汲極區域的源極/汲極接點。
50:基材
50N:n型區域
50P:p型區域
51:分隔線
52:鰭片
54:絕緣材料
56:隔離區域
58:通道區域
60:虛擬介電層
62:虛擬閘極層
64:罩幕層
72:虛擬閘極
74:罩幕
75:覆蓋層
76:矽化物
77:第一磊晶層
78,L2-1:第二磊晶層
79,L2-2:第三磊晶層
80:閘極封合間隙壁
81,L3:第四磊晶層
82:源極/汲極區域
83:源極/汲極區域
86:閘極間隙壁
87:接觸蝕刻中止層
88:層間介電質
89:區域
90:凹槽
92:閘極介電層
94:閘極電極
94A:襯墊層
94B:功函數調整層
94C:填充材料
96:閘極罩幕
100:區域
108:層間介電質
110:閘極接點
112:源極/汲極接點
200:區域
350:基材
350N:n型區域
350P:p型區域
350i:分隔線
352:多層堆疊
354:第一半導體層
356:第二半導體層
358:罩幕
362:鰭片
364:奈米結構
366:奈米結構
368:通道區域
372:隔離區域
374:犠牲層
376:犠牲間隙壁
378A:襯墊
378B:填充材料
380:介電覆蓋層
382:絕緣鰭片
384:虛擬閘極層
386:罩幕層
394:虛擬閘極
396:罩幕
398:閘極間隙壁
404N,404P:源極/汲極凹槽
406N,406P:內間隙壁
408:磊晶源極/汲極區域
408A:襯層
408B:主層
408C:覆蓋層
408D:襯層
408E:襯層
408F:主層
408G:覆蓋層
408N,408P:源極/汲極區域
409:區域
412:接觸蝕刻中止層
414:層間介電質
416N,416P:凹槽
418N,418P:開口
420:開口
424N,424P:閘極介電層
426N,426P:閘極電極層
430,430N,430P:閘極結構
432:隔離區域
434:蝕刻停止層
436:層間介電質
442N,442P:閘極接點
444N,444P:源極/汲極接點
446N,446P:金屬-半導體合金區域
447:區域
A-A,B-B,C-C:剖面
D-D’,E-E’,F-F’:剖面
D1:深度
H1,H2,H3,H4,H5,H6,H7,H8,H9,H10,H11,H12,H13,H14,H15:高度
T1,T2,T3,T4,T5,T6,T7,T8:厚度
W1,W2,W3,W4,W5,W6,W7,W8,W9,W10:寬度
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法,許多特徵並不是按照比例繪示的。事實上,為了進行清楚
討論,許多特徵的尺寸可以經過任意縮放。
[圖1]係繪示根據一些實施例之鰭式場效電晶體之一具體例的三維視圖。
[圖2]、[圖3]、[圖4]、[圖5]、[圖6]、[圖7]、[圖8A]、[圖8B]、[圖9A]、[圖9B]、[圖10A]、[圖10B]、[圖10C]、[圖10D]、[圖11A]、[圖11B]、[圖11C]、[圖11D]、[圖11E]、[圖11F]、[圖12A]、[圖12B]、[圖12C]、[圖12D]、[圖13A]、[圖13B]、[圖14A]、[圖14B]、[圖15A]、[圖15B]、[圖16A]、[圖16B]、[圖17A]、[圖17B]、[圖18A]、[圖18B]、[圖19A]、[圖19B]、[圖20A]、[圖20B]、[圖20C]、[圖21A]、[圖21B]、[圖22A]、[圖22B]、[圖23A]、[圖23B]、[圖24A]、[圖24B]、[圖24C]及[圖24D]係繪示根據一些實施例之製造鰭式場效電晶體之中間階段的剖面視圖。
[圖25]係繪示根據一些實施例之之奈米結構場效電晶體(nanostructure field-effect transistor,nano-FET)之一具體例的三維視圖。
[圖26]、[圖27]、[圖28]、[圖29A]至[圖29C]、[圖30A]至[圖30C]、[圖31A]至[圖31C]、[圖32A]至[圖32C]、[圖33A]至[圖33C]、[圖34A]至[圖34C]、[圖35A]至[圖35C]、[圖36A]至[圖36C]、[圖37A]至[圖37C]、[圖38A]至[圖38C]、[圖39A]至[圖39C]、[圖40A]至[圖40D]、[圖41A]至[圖41C]、[圖42A]至[圖
42C]、[圖43A]至[圖43C]、[圖44A]至[圖44C]、[圖45A]至[圖45C]、[圖46A]至[圖46C]及[圖47A]至[圖47D]係繪示根據一些實施例之製造奈米結構場效電晶體之中間階段的視圖。
以下揭露提供許多不同實施例或例示,以實施發明的不同特徵。以下敘述之組件和配置方式的特定例示是為了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,第一特徵形成在第二特徵之上或上方的描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。除此之外,本揭露在各種具體例中重覆元件符號及/或字母。此重覆的目的是為了使說明簡化且清晰,並不表示各種討論的實施例及/或配置之間有關係。
再者,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的零件或特徵和其他零件或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含元件在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本揭露所用的空間相對性描述也可以如此解讀。
各種實施例包含實施的方法,但不限於富硼(boron-rich)覆蓋層形成在磊晶源極/汲極區域之頂表面及側壁上。富硼覆蓋層係做為犠牲層,且在用來形成源極/汲極接觸開口在源極/汲極區域上的層間介電質(inter-layer dielectric,ILD)內的氟基蝕刻製程過程中阻止磊晶源極/汲極區域的耗損。本文所揭露之一或多個實施例的優勢特徵可包含以富硼覆蓋層做為摻質施體(dopant donor),以些微摻雜通道區域,而造成低通道電阻及優化的電性效能。除此之外,在氟基蝕刻製程中,富硼覆蓋層的使用造成減少磊晶源極/汲極區域耗損使得源極/汲極區域保持較大體積的高比率鍺磊晶材料。這可造成源極/汲極區域及後續形成之源極/汲極接點之間較低的電阻,其中源極/汲極接點係實體接觸此高比率鍺磊晶材料。再者,在氟基蝕刻製程過程中減少的磊晶源極/汲極區域耗損使得源極/汲極區域具有較高的上升高度,其亦可減少缺陷及優化製程窗。
圖1係繪示根據一些實施例之鰭式場效電晶體(fin field-effect transistor,FinFET)之一具體例的三維視圖。鰭式場效電晶體包含在基材50(例如半導體基材)上的鰭片52。隔離區域56係設置在基材50內,且鰭片52自相鄰隔離區域56之間突出至其上。雖然隔離區域56係描述/繪示為與基材50分開,本揭露所用之用語「基材」可表示僅半導體基材或包含隔離區域的半導體基材。除此之外,雖然鰭片52係繪示為單獨並與基材50連
續的材料,鰭片52及/或基材50可包含單一材料或複數種材料。在本文中,鰭片52代表在相鄰隔離區域56之間延伸的部分。
閘極介電層92係沿著鰭片52之側壁且在鰭片52之頂表面上,而閘極電極94係在閘極介電層92上。相對於閘極介電層92及閘極電極94,源極/汲極區域82/83係設置在鰭片52之相對側。圖1更繪示用於後續圖式中的參考剖面。剖面A-A係沿著閘極電極94的縱軸,且舉例而言,其係在垂直於鰭式場效電晶體之源極/汲極區域82/83之間的電流流動方向的方向上。剖面B-B係垂直剖面A-A,且係沿著鰭片52的縱軸,且舉例而言,其係在鰭式場效電晶體之源極/汲極區域82/83之間的電流流動方向上。剖面C-C係平行剖面A-A,並延伸穿過鰭式場效電晶體之源極/汲極區域82/83。為了清楚表示,後續圖式係參照這些參考剖面。
本文所討論的一些實施例係以利用閘極後製製程(gate-last process)所形成之鰭式場效電晶體的框架來說明。在另一些實施例中,可使用閘極優先製程(gate-first process)。
圖2至圖24D係繪示根據一些實施例之製造鰭式場效電晶體之中間階段的剖面視圖。圖2至圖7係繪示沿著圖1所示之參考剖面A-A,除了多個鰭片/鰭式場效電晶體。圖8A、圖9A、圖10A、圖11A、圖12A、圖13A、圖14A、圖15A、圖16A、圖17A、圖18A、圖19A、
圖20A、圖21A、圖22A、圖23A及圖24A係繪示圖1所示之參考剖面A-A,且圖8B、圖9B、圖10B、圖11B、圖12B、圖13B、圖14B、圖15B、圖16B、圖17B、圖18B、圖19B、圖20B、圖21B、圖22B、圖23B及圖24B係繪示沿著圖1所示之參考剖面B-B,除了多個鰭片/鰭式場效電晶體。圖10C、圖10D、圖11C、圖11D、圖11E、圖11F、圖12C、圖12D、圖24C及圖24D係繪示沿著圖1所示之參考剖面C-C,除了多個鰭片/鰭式場效電晶體。
在圖2中,提供基材50。基材50可為半導體基材、例如主體半導體、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基材等,其可為摻雜(例如以p型或n型摻質)或未摻雜。基材50可為晶圓,例如矽晶圓。一般而言,SOI基材係形成在絕緣層上的半導體材料層。舉例而言,絕緣層可為埋入氧化層(buried oxide,BOX)、氧化矽層等。絕緣層係提供在基材上,一般為矽或玻璃基材。也可使用其他基材,例如多層或梯度基材。在一些實施例中,基材50的半導體材料可包含矽;鍺;包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體;包含矽鍺、磷砷化鎵、砷化銦鋁、砷化鋁鎵、砷銦化鎵、磷化銦鎵及/或磷銦砷化鎵的合金半導體;或其組合等。
基材50具有n型區域50N及p型區域50P。n型區域50N可用以形成n型裝置,例如NMOS電晶體(如
n型鰭式場效電晶體),而p型區域50P可用以形成p型裝置,例如PMOS電晶體(如p型鰭式場效電晶體)。n型區域50N係實體上與p型區域50P分開(如所繪示的分隔線51),且任意數量的裝置特徵(例如其他主動裝置、摻雜區域、隔離結構等)係設置在n型區域50N及p型區域50P之間。
在圖3中,鰭片52係形成在基材50內。鰭片52為半導體條。在一些實施例中,鰭片52係藉由蝕刻基材50內的溝渠而形成在基材50內。蝕刻可為任何合適的蝕刻製程,例如反應性離子蝕刻(reactive ion etch,RIE)、中性粒子束蝕刻(neutral beam etch,NBE)、相似者或前述之組合。蝕刻可為異向性。
可藉由任何合適的方法圖案化鰭片52。舉例而言,鰭片52可利用一或多個微影製程而被圖案化,其包含雙重成像(double-patterning)或多重成像(multi-patterning)製程。一般而言,雙重成像或多重成像製程結合光微影及自對準製程,使圖案被製作為例如間距小於其他利用單一且直接的光微影製程所獲得之間距。舉例而言,在一實施例中,犠牲層係形成在基材上,並利用光微影製程來圖案化。間隙壁係利用自對準製程而形成為沿著圖案化犠牲層。然後,犠牲層被移除,接著剩餘的間隙壁可被用來圖案化鰭片52。在一些實施例中,罩幕(或其他層)可保留在鰭片52上。
在圖4中,根據一些實施例,絕緣材料54係形成
在基材50上且在相鄰鰭片52之間。絕緣材料54可為氧化物(例如氧化矽)、氮化物、相似者或前述之組合,其可藉由高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如在遠程電漿系統內的化學氣相沉積基材料的沉積及後硬化,以使其轉化為其他材料,例如氧化物)、相似者或前述之組合來形成。可利用藉由任何合適的製程所形成之其他絕緣材料。在繪示的實施例中,絕緣材料54係藉由流動式化學氣相沉積製程所形成的氧化矽。絕緣材料一形成時,可進行退火製程。在一些實施例中,絕緣材料54係形成為使過量的絕緣材料54覆蓋鰭片52。雖然絕緣材料54係繪示為單層,一些實施例可使用多層。舉例而言,在一些實施例中,襯墊(圖未繪示)可先沿著基材50及鰭片52之表面而形成。然後,例如上述之填充材料可形成在襯墊上。
在圖5中,對絕緣材料54施以移除製程,以移除在鰭片52上的多餘絕緣材料54。在一些實施例中,可使用平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP)、回蝕製程、前述之組合等。平坦化製程暴露出鰭片52,以使得在平坦化製程完成之後,鰭片52的頂表面與絕緣材料54為等高。在罩幕保留在鰭片52上的實施例中,平坦化製程可暴露罩幕或移除罩幕,以使得在平坦化製程完成之後,罩幕或鰭片52之頂表面分別與絕緣材料54等高。
在圖6中,使絕緣材料54凹陷,以形成淺溝渠隔離(Shallow Trench Isolation,STI)區域56。絕緣材料54係被凹陷,而使在n型區域50N及p型區域50P內的鰭片52之上部分自相鄰淺溝渠隔離區域56之間突出。再者,淺溝渠隔離區域56之頂表面可具有如繪示的平坦表面、凸面、凹面(例如碟狀)或前述之組合。淺溝渠隔離區域56之頂表面可藉由適當的蝕刻製程而形成平坦的、凸面的及/或凹面的。淺溝渠隔離區域56可利用任何合適的蝕刻製程而被凹陷,例如對絕緣材料54之材料有選擇性的(例如相對於鰭片52之材料,以較快的速率蝕刻絕緣材料54之材料)。舉例而言,可利用稀釋氫氟酸(dilute hydrofluoric acid)(dHF)進行氧化物的移除。
參照圖2至圖6所述之製程僅為鰭片52如何形成的一具體例。在一些實施例中,鰭片52可利用磊晶成長製程來形成。舉例而言,介電層可形成在基材50之頂表面上,且溝渠可被蝕刻穿過介電層,而暴露出下方的基材50。同質磊晶(homoepitaxial)結構可磊晶成長在溝渠內,且可使介電層凹陷,以使同質磊晶結構自介電層突出而形成鰭片。除此之外,在一些實施例中,異質磊晶(heteroepitaxial)結構可用做鰭片52。舉例而言,圖5中的鰭片52可被凹陷,且不同於鰭片52之材料可被磊晶成長在凹陷的鰭片52上。在此實施例中,鰭片52包含被凹陷的材料及設置在被凹陷之材料上的磊晶成長材料。在進一步的實施例中,介電層可形成在基材50之頂表面上,
且溝渠可被蝕刻穿過介電層。然後,異質磊晶(heteroepitaxial)結構可利用不同於基材50之材料而被磊晶成長在溝渠內,且可使介電層凹陷而使異質磊晶結構自介電層突出,以形成鰭片52。在同質磊晶或異質磊晶結構被磊晶成長的一些實施例中,磊晶成長材料可在成長過程中被原位摻雜,其可排除之前及後續的佈植,然而原位及佈植摻雜可一起使用。
再者,磊晶成長在n型區域(例如NMOS區域)內的材料不同於在p型區域(例如PMOS區域)內的材料係有優勢的。在各種實施例中,鰭片52之上部分可由矽鍺(例如SixGe1-x,其中x之為0至1)、碳化矽、純鍺或實質為純鍺、III-V族化合物半導體、II-VI族化合物半導體等所形成。舉例而言,用以形成III-V施化合物半導體的可用材料包含但不限於砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷銦化鎵、砷化銦鋁、銻化鎵、銻化鋁、磷化鋁、磷化鎵等。
進一步在圖6中,適當的阱(圖未繪示)係形成在鰭片52及/或基材50內。在一些實施例中,P型阱係形成在n型區域內,而N型阱係形成在p型區域內。在一些實施例中,P型阱或N型阱係形成在n型區域及p型區域二者內。
在具有不同阱型的實施例中,對n型區域50N及p型區域50P的不同佈植步驟可利用光阻及/或其他罩幕(圖未繪示)而達成。舉例而言,光阻可形成在n型區域50N
內之鰭片52及淺溝渠隔離區域56上。光阻係被圖案化,以暴露出基材50的p型區域50P。光阻可藉由利用旋塗技術而形成,且可利用適當的光微影技術而被圖案化。一旦光阻被圖案化,在p型區域50P內進行n型雜質佈植,且光阻係做為罩幕,以實質防止n型雜質被植入n型區域50N。n型雜質可為磷、砷、銻等,其植入區域內的濃度係等於或小於1018cm-3,例如約1016cm-3至約1018cm-3之間。在佈植之後,光阻係被移除,例如藉由合適的灰化製程。
接續p型區域的佈植,光阻係形成在p型區域50P內的鰭片52及淺溝渠隔離區域56上。光阻係被圖案化,以暴露出基材的n型區域50N。光阻可藉由利用旋塗技術而形成,且可利用合適的光微影技術而被圖案化。一旦光阻被圖案化,可在n型區域50N內進行p型雜質佈植,且光阻係做為罩幕,以實質防止p型雜質被植入p型區域50P。p型雜質可為硼、氟化硼、銦等,其植入區域內的濃度係等於或小於1018cm-3,例如約1016cm-3至約1018cm-3之間。在佈植之後,光阻可被移除,例如藉由合適的灰化製程。
在n型區域50N及p型區域50P的佈植之後,可進行退火,以修復佈植破壞並活化被植入的p型及/或n型雜質。在一些實施例中,磊晶鰭片的成長材料可在成長過程中被原位摻雜,其可排除佈植。然而,原位及佈植摻雜係可一起使用。
在圖7中,虛擬介電層60係形成在鰭片52上。舉例而言,虛擬介電層60可為氧化矽、氮化矽、前述之組合等,且可根據合適的技術而被沉積或熱成長。虛擬閘極層62係形成在虛擬介電層60上,且罩幕層64係形成在虛擬閘極層62上。虛擬閘極層62可沉積在虛擬介電層60上,然後被平坦化,例如藉由化學機械研磨等。罩幕層64可沉積在虛擬閘極層62上。虛擬閘極層62可為導電或非導電材料,且可選自於由包含無定形矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物及金屬所組成的一族群。虛擬閘極層62可藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍沉積或其他技術來沉積所選擇的材料。虛擬閘極層62可由其他材料所組成,其係相對於隔離區域(例如淺溝渠隔離區域56)及/或虛擬介電層60的蝕刻,具有高蝕刻選擇性的材料。舉例而言,罩幕層64可包含一或多層的氮化矽、氮氧化矽等。在此具體例中,單一虛擬閘極層62及單一罩幕層64係形成為跨越n型區域50N及p型區域50P。須注意的是,為了說明的目的,虛擬介電層60係繪示為僅覆蓋鰭片52。在一些實施例中,虛擬介電層60係沉積為使虛擬介電層60覆蓋淺溝渠隔離區域56,延伸在淺溝渠隔離區域56上及在虛擬閘極層62及淺溝渠隔離區域56之間。
圖8A至圖24D係繪示在製造例示裝置的各種附加步驟。圖8A至圖9B係繪示在n型區域50N及p型區
域50P之一者內的特徵。舉例而言,圖8A至圖9B所示的結構可應用在n型區域50N及p型區域50P二者上。n型區域50N及p型區域50P的結構內的差異(若有的話)會隨著每一個圖式在文中說明。圖10A、圖10B、圖10C、圖10D、圖13A、圖13B、圖15A、圖15B、圖17A、圖17B、圖19A、圖19B、圖20C、圖21A、圖21B、圖23A及圖23B可應用在n型區域50N上。圖11A、圖11B、圖11C、圖11D、圖11E、圖11F、圖12A、圖12B、圖12C、圖12D、圖14A、圖14B、圖16A、圖16B、圖18A、圖18B、圖20A、圖20B、圖20C、圖22A、圖22B、圖24A、圖24B、圖24C及圖24D可應用在p型區域50P上。
在圖8A及圖8B中,罩幕層64(參照圖7)係利用合適的光微影及蝕刻技術而被圖案化以形成罩幕74。然後,罩幕74的圖案係被轉移至虛擬閘極層62。在一些實施例中(圖未繪示),罩幕74的圖案亦可藉由合適的蝕刻技術而被轉移至虛擬介電層60,以形成虛擬閘極72。虛擬閘極72覆蓋鰭片52之各別的通道區域58。罩幕74的圖案可用以實體分離每一個虛擬閘極72與相鄰的虛擬閘極。虛擬閘極72之縱向方向亦實質垂直各別磊晶鰭片52的縱向方向。
進一步在圖8A及圖8B中,閘極封合間隙壁80可形成在虛擬閘極72、罩幕74及/或鰭片52之暴露表面上。接續異向性蝕刻的熱氧化或沉積可形成閘極封合間隙
壁80。閘極封合間隙壁80可由氧化矽、氮化矽、氮氧化矽等所組成。
在閘極封合間隙壁80的形成之後,可進行輕摻雜源極/汲極(lightly doped source/drain,LDD)區域(未明確繪示)的佈植。在具有不同裝置類型的實施例中,相似於上述圖6中說明的佈植,罩幕(例如光阻)可形成在n型區域50N上,而暴露p型區域50P,且適當類型(例如p型)的雜質可植入p型區域50P內暴露的鰭片52中。然後,可移除罩幕。接著,罩幕(例如光阻)可形成在p型區域50P上,而暴露n型區域50N,且適當類型(例如n型)的雜質可植入n型區域50N內暴露的鰭片52中。然後,可移除罩幕。n型雜質可為任何前述之n型雜質,而p型雜質可為任何前述之p型雜質。輕摻雜源極/汲極區域之雜質濃度可為1015cm-3至1019cm-3。可利用退火,以修復佈植破壞並活化植入的雜質。
在圖9A及圖9B中,閘極間隙壁86係沿著虛擬閘極72及罩幕74之側壁而形成在閘極封合間隙壁80上。閘極間隙壁86可藉由共形地沉積絕緣材料,接著異向性蝕刻絕緣材料而形成。閘極間隙壁86的絕緣材料可包含氧化矽、氮化矽、氮氧化矽、碳氮化矽、其組合等。
須注意的是,以上揭露大致描述形成間隙壁及輕摻雜源極/汲極區域的製程。可使用其他的製程及順序。舉例而言,可使用較少或額外的間隙壁,可使用不同的步驟順序(例如在形成閘極間隙壁86、產生「L型」閘極封合間
隙壁之前,可不蝕刻閘極封合間隙壁80,可形成及移除間隙壁,及/或相似者)。再者,n型裝置及p型裝置可利用不同的結構及步驟而形成。舉例而言,在形成閘極封合間隙壁80之前,可形成n型裝置的輕摻雜源極/汲極區域,而在形成閘極封合間隙壁80之後,可形成p型裝置的輕摻雜源極/汲極區域。
圖10A至圖10D所繪示的結構可應用於n型區域50N。在圖10A至圖10D中,磊晶源極/汲極區域82係形成在鰭片52內。磊晶源極/汲極區域82係形成在鰭片52內,以使每一個虛擬閘極72係設置在各別相鄰對的磊晶源極/汲極區域82之間。在一些實施例中,磊晶源極/汲極區域82可延伸至鰭片52中,且亦可穿透鰭片52。在一些實施例中,閘極間隙壁86係用以使磊晶源極/汲極區域82與虛擬閘極72分開適當的橫向距離,則磊晶源極/汲極區域82不會與所產生的鰭式場效電晶體之後續形成之閘極發生短路。可選擇磊晶源極/汲極區域82之材料,以施加壓力在各別通道區域58內,藉以優化效能。
可藉由遮蔽p型區域50P及蝕刻在n型區域50N內之鰭片52的源極/汲極區域,而形成在n型區域50N內的磊晶源極/汲極區域82。然後,在n型區域50N內的磊晶源極/汲極區域82係磊晶成長在凹槽內。磊晶源極/汲極區域82可包含任何合適的材料,例如適合用於n型鰭式場效電晶體者。舉例而言,若鰭片52是矽,在n型區域50N內的磊晶源極/汲極區域82之材料可施加拉伸應變在通道
區域58內,例如矽、碳化矽、磷摻雜碳化矽、磷化矽等。在n型區域50N內的磊晶源極/汲極區域82具有自各別鰭片52之表面突出的表面,且可具有刻面。
磊晶源極/汲極區域82及/或鰭片52可被植入摻質,以形成源極/汲極區域,相似於上述用以形成輕摻雜源極/汲極域的製程,接著進行退火。源極/汲極區域可具有之雜質濃度係介於約1019cm-3及1021cm-3之間。源極/汲極區域的n型雜質可為任何前述之雜質。在一些實施例中,磊晶源極/汲極區域82可在成長過程中原位摻雜。
由於用以形成磊晶源極/汲極區域82在n型區域50N內的磊晶製程,磊晶源極/汲極區域82之上表面具有刻面,其係橫向向外擴張至鰭片52之側壁外。在一些實施例中,這些刻面造成相同鰭式場效電晶體的相鄰源極/汲極區域82合併,如圖10C所繪示。在另一些實施例中,在磊晶製程完成之後,相鄰源極/汲極區域82維持分離,如圖10D所繪示。在圖10C及圖10D所繪示的實施例中,閘極間隙壁86可形成為覆蓋鰭片52的側壁之部分,其延伸在淺溝渠隔離區域56之上,藉以阻止磊晶成長。在另一些實施例中,可調整用以形成閘極間隙壁86的間隙壁蝕刻,以移除間隙壁材料,而使磊晶成長區域延伸至淺溝渠隔離區域56之表面。
圖11A至圖11F所繪示的結構可應用於p型區域50P。在圖11A及圖11B中,磊晶源極/汲極區域83係形成在鰭片52內。磊晶源極/汲極區域83係形成在鰭片
52內,以使每一個虛擬閘極72係設置在各別相鄰對的磊晶源極/汲極區域83之間。在一些實施例中,磊晶源極/汲極區域83可延伸至鰭片52中,且亦可穿透鰭片52。在一些實施例中,閘極間隙壁86係用以使磊晶源極/汲極區域83與虛擬閘極72分開適當的橫向距離,則磊晶源極/汲極區域83不會與所產生的鰭式場效電晶體之後續形成之閘極發生短路。可選擇磊晶源極/汲極區域83之材料,以施加壓力在各別通道區域58內,藉以優化效能。
可藉由遮蔽n型區域50N及蝕刻在p型區域50P內之鰭片52的源極/汲極區域以形成凹槽在鰭片52內,而形成在p型區域50P內的磊晶源極/汲極區域83。然後,在p型區域50P內的磊晶源極/汲極區域83係磊晶成長在凹槽內。磊晶源極/汲極區域83可包含任何合適的材料,例如適合用於p型鰭式場效電晶體者。舉例而言,若鰭片52是矽,在p型區域50P內的磊晶源極/汲極區域83之材料可施加壓縮應變在通道區域58內,例如矽鍺、硼摻雜矽鍺、鍺、鍺錫(germanium tin)等。在p型區域50P內的磊晶源極/汲極區域83可具有自各別鰭片52之表面突出的表面,且可具有刻面。
由於用以形成磊晶源極/汲極區域83在p型區域50P內的磊晶製程,磊晶源極/汲極區域83之上表面具有刻面,其係橫向向外擴張至鰭片52之側壁外。在一些實施例中,這些刻面造成相同鰭式場效電晶體的相鄰源極/汲極區域83合併,如圖11C及圖11E所繪示。在另一些實施
例中,在磊晶製程完成之後,相鄰源極/汲極區域83維持分離,如圖11D及圖11F所繪示。
圖11C及圖11E係繪示根據一實施例之沉積(次)層在合併的磊晶源極/汲極區域83內的例示製程。圖11E顯示圖11C之合併的磊晶源極/汲極區域83的區域100。圖11D及圖11F係繪示根據一實施例之於磊晶製程完成之後,沉積(次)層在與相鄰磊晶源極/汲極區域83維持分離的磊晶源極/汲極區域83內的例示製程。圖11F顯示圖11D之合併的磊晶源極/汲極區域83的區域200。
在圖11E及圖11F中,第一磊晶層77(亦稱為第一磊晶層L1)係透過磊晶製程沉積在鰭片52內的凹槽內。第一磊晶層77的沉積可利用減壓化學氣相沉積(Reduced Pressure Chemical Vapor Deposition,RPCVD)、電漿輔助化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition,PECVD)等來進行。根據一些實施例,第一磊晶層77可包含矽鍺硼(SiGeB)等。在第一磊晶層77沉積之後,透過磊晶製程沉積第二磊晶層78(亦稱為第二磊晶層L2-1)、第三磊晶層79(亦稱為第三磊晶層L2-2)、第四磊晶層81(亦稱為第四磊晶層L3或第一覆蓋層)。沉積製程可利用減壓化學氣相沉積、電漿輔助化學氣相沉積等來進行。根據一些實施例,第二磊晶層78、第三磊晶層79及第四磊晶層81可包含矽鍺硼等。在一實施例中,第一磊晶層77、第二磊晶層78、第三磊晶層79及第四磊晶層81之每一者可具有之硼濃度
為約1×1020/cm3至約2×1021/cm3。在一實施例中,第一磊晶層77、第二磊晶層78、第三磊晶層79及第四磊晶層81之每一者可具有之鍺原子百分比為約百分之0至約百分之70。在一實施例中,在用以沉積第一磊晶層77、第二磊晶層78、第三磊晶層79及第四磊晶層81的磊晶製程期間,所用的製程反應物可包含硼烷(silane)、二氯矽烷(dichlorosilane)、鍺烷(germane)、硼烷(borane)、氫氯酸、二氯鍺烷(dichlorogermane)、前述之組合等。在一實施例中,在用以沉積第一磊晶層77、第二磊晶層78、第三磊晶層79及第四磊晶層81的磊晶製程期間,所用的製程溫度可為550℃至850℃。在一實施例中,在用以沉積第一磊晶層77、第二磊晶層78、第三磊晶層79及第四磊晶層81的磊晶製程期間,所用的製程壓力可為20torr至300torr。
在圖11C及圖11D所繪示的實施例中,閘極間隙壁86可形成為覆蓋鰭片52的側壁之部分,其延伸在淺溝渠隔離區域56之上,藉以阻止磊晶成長。在一些實施例中,可調整用以形成閘極間隙壁86的間隙壁蝕刻,以移除間隙壁材料,而使磊晶成長區域延伸至淺溝渠隔離區域56之表面。
圖12A至圖12D所繪示的結構可應用於p型區域50P。在圖12A至圖12D中,第二覆蓋層75係選擇性地沉積在圖11A至圖11F所繪示的磊晶源極/汲極區域83之頂表面及側壁上。第二覆蓋層75的選擇性沉積可利
用合適的製程(例如化學氣相沉積、物理氣相沉積等)來進行,同時通入蝕刻氣體,例如氫氯酸等。第二覆蓋層75可為含硼層,例如實質純硼層。在一實施例中,第二覆蓋層75可包含結晶硼、非晶形硼、前述之組合等。根據一些實施例,第二覆蓋層75可具有之硼濃度為約3×1021/cm3至約1×1022/cm3。由於具有約3×1021/cm3至約1×1022/cm3之硼濃度的第二覆蓋層75的形成,而可達到優勢。舉例而言,在後續用以形成源極/汲極接觸開口(如後續圖24A至圖24D所示)的氟基蝕刻製程中,第二覆蓋層具有在約3×1021/cm3至約1×1022/cm3之範圍外的硼濃度會導致延遲磊晶源極/汲極區域83耗損的能力減少。在一實施例中,第二覆蓋層75可具有比磊晶源極/汲極區域83之硼濃度更高的硼濃度(例如高於第一磊晶層77、第二磊晶層78、第三磊晶層79及第四磊晶層81之任意者)。在一實施例中,在第二覆蓋層75的沉積期間,所用的製程反應物可包含甲硼烷、乙硼烷、三氯化硼、前述之組合等。再者,蝕刻劑(例如氟氯酸)可與製程反應物同時施加,以輔助第二覆蓋層在磊晶源極/汲極區域83上的選擇性沉積。蝕刻劑會延遲第二覆蓋層75在淺溝渠隔離區域56及閘極間隙壁86之表面上的形成,其係由介電材料所形成。在一實施例中,在第二覆蓋層75的沉積期間,製程溫度可為500℃至700℃。在一實施例中,在第二覆蓋層75的沉積期間,製程壓力可為20torr至60torr。
在一些實施例中,硼原子可自第二覆蓋層75(其做
為硼摻質施體)穿過磊晶源極/汲極區域83擴散至通道區域58。根據一些實施例,在硼原子擴散之後,通道區域58可具有之硼濃度為約1×1015/cm3至約1×1018/cm3。在一實施例中,通道區域58可具有之硼濃度係低於1×1018/cm3。
圖12C係顯示在第二覆蓋層75沉積之後的合併磊晶源極/汲極區域83之區域100。通道區域58係以虛線顯示。在一實施例中,在第二覆蓋層75之頂表面及通道區域58之最頂點之間的第一高度H1為-5nm至15nm。在一實施例中,合併的磊晶源極/汲極區域83之最外側壁在第二覆蓋層75之最低點及通道區域58之最低點之間的的第二高度H2為5nm至25nm。在一實施例中,第二高度H2係大於10nm。在一實施例中,合併的磊晶源極/汲極區域83之最內側壁在第四磊晶層81之最低點及通道區域58之最低表面之間的第三高度H3為5nm至25nm。在一實施例中,從第二覆蓋層75之第一外側壁上的第一點至第二覆蓋層75之第二外側壁上的第二點的第一寬度W1為20nm至60nm,其中第一點及第二點係較第二覆蓋層75之頂表面低5nm的垂直距離。在一實施例中,在第二覆蓋層75之最外點之間的第二寬度W2為40nm至70nm。在一實施例中,第二覆蓋層75之第一部分具有在0.5nm至2nm之範圍的第一厚度T1,其中第二覆蓋層75之第一部分係自第二覆蓋層75之頂表面延伸至第二覆蓋層75之最外點。在一實施例中,第二覆蓋層75之第二部
分具有的第二厚度T2係至多2nm,其中第二部分係自第二覆蓋層75之最低部分延伸至第二覆蓋層75之最外點。在一實施例中,第一厚度T1係大於第二厚度T2。第一厚度T1大於第二厚度T2會導致在後續用以形成源極/汲極接觸開口(如後續圖24A至圖24D所示)的氟基蝕刻製程中,第二覆蓋層75之第一部分延遲磊晶源極/汲極區域83耗損的能力增加。
圖12D係顯示在第二覆蓋層75沉積之後與相鄰的磊晶源極/汲極區域分開的磊晶源極/汲極區域83之區域200。通道區域58係以虛線顯示。在一實施例中,在第二覆蓋層75之最頂點及通道區域58之最頂點之間的第四高度H4為-5nm至15nm。在一實施例中,磊晶源極/汲極區域83之側壁在第二覆蓋層75之最低點及通道區域58之最低點之間的的第五高度H5為5nm至25nm。在一實施例中,第五高度H5係大於10nm。在一實施例中,從第二覆蓋層75之第一外側壁上的第一點至第二覆蓋層75之第二外側壁上的第二點的第三寬度W3為5nm至25nm,其中第一點及第二點係較第二覆蓋層75之最頂點低5nm的垂直距離。在一實施例中,在第二覆蓋層75之最外點之間的第四寬度W4為25nm至45nm。在一實施例中,第二覆蓋層75之第一部分具有在0.5nm至2nm之範圍的第三厚度T3,其中第二覆蓋層75之第一部分係自第二覆蓋層75之最頂點延伸至第二覆蓋層75之最外點。在一實施例中,第二覆蓋層75之第二部分具有的第
四厚度T4係至多2nm,其中第二部分係自第二覆蓋層75之最低部分延伸至第二覆蓋層75之最外點。在一實施例中,第三厚度T3係大於第四厚度T4。第三厚度T3大於第四厚度T4會導致在後續用以形成源極/汲極接觸開口(如後續圖24A至圖24D所示)的氟基蝕刻製程中,第二覆蓋層75之第一部分延遲磊晶源極/汲極區域83耗損的能力增加。
由於第二覆蓋層形成在磊晶源極/汲極區域83之頂表面及側壁上,可達成優勢。前述優勢可包含第二覆蓋層做為犠牲層,且在用以在第一層間介電質88及第二層間介電質108(如後續圖24A至圖24D所示)內形成源極/汲極接點112之開口(如後續圖24A至圖24D所示)的氟基蝕刻製程中,延遲磊晶源極/汲極區域耗損。第二覆蓋層75可充當摻質施體,以些微摻雜通道區域58,其造成較低的通道電阻及優化的電性效能。除此之外,即使在源極/汲極接點形成之後,第二覆蓋層75的使用使得磊晶源極/汲極區域83之高比例鍺的磊晶材料維持較大的體積。此可造成源極/汲極區域及後續形成之源極/汲極接點112(如後續圖24A至圖24D所示)之間較低的電阻,其中源極/汲極接點112係實體接觸此高比例鍺的磊晶材料。再者,在氟基蝕刻製程中,由於第二覆蓋層75的使用而減少的磊晶源極/汲極區域耗損使得磊晶源極/汲極區域83具有較高的突出高度。
在圖13A及圖13B中,第一層間介電質88係沉
積在圖10A及圖10B所繪示的結構(例如n型區域50N)上,且在圖14A及圖14B中,第一層間介電質88係沉積在圖11A及圖11B所繪示的結構(例如p型區域50P)上。第一層間介電質88可由介電材料所組成,且可藉由任何合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積或流動式化學氣相沉積。介電材料可包含磷矽玻璃(phospho-silicate glass,PSG)、硼矽玻璃(boro-silicate glass,BSG)、硼摻雜磷矽玻璃(boron-doped phospho-silicate glass,BPSG)、未摻雜矽玻璃(undoped silicate glass,USG)等。可使用藉由任何合適的製程所形成的其他絕緣材料。在一實施例中,第一層間介電質88可包含氧化矽。在圖13A及圖13B中,根據一些實施例,接觸蝕刻中止層(contact etch stop layer,CESL)87係設置在第一層間介電質88與磊晶源極/汲極區域82、罩幕74及閘極間隙壁86之間。接觸蝕刻中止層87可包含介電材料,例如氮化矽、氧化矽、氮氧化矽等,其係具有比上方之第一層間介電質88的材料更慢的蝕刻速率。在第一層間介電質包含氧化矽的一實施例中,在第一層間介電質88的沉積過程中,第二覆蓋層75可被氧化以形成氧化物(例如氧化硼)。
在第一層間介電質88沉積在圖10A、圖10B、圖11A及圖11B所繪示的結構上之後,進行平坦化製程,例如對n型區域50N的圖15A及圖15B所示者,及對p型區域50P的圖16A及圖16B所示者。平坦化製程可為
化學機械研磨,且可進行以使第一層間介電質88之頂表面與虛擬閘極72或罩幕74之頂表面等高。平坦化製程亦可移除在虛擬閘極72上的罩幕74及沿著罩幕74的閘極封合間隙壁80及閘極間隙壁86之部分。在平坦化製程之後,虛擬閘極72、閘極封合間隙壁80、閘極間隙壁86及第一層間介電質88之頂表面為等高。因此,虛擬閘極72之頂表面係透過第一層間介電質88而被暴露。在一些實施例中,在平坦化製程使第一層間介電質88之頂表面與罩幕74之頂表面等高的例示中,可保留罩幕74。
在圖17A與圖17B及圖18A與圖18B中,虛擬閘極72及罩幕74(若存在的話)係透過一或多個蝕刻步驟分別自n型區域50N及p型區域50P中移除,而形成凹槽90。在凹槽90內的虛擬介電層60之部分亦可被移除。在一些實施例中,僅虛擬閘極72被移除,而虛擬介電層60保留且被凹槽90暴露。在一些實施例中,虛擬介電層60係自晶粒之第一區域(例如核心邏輯區域)內的凹槽90中移除,並保留在晶粒之第二區域(例如輸入/輸出區域)內的凹槽90內。在一些實施例中,虛擬閘極72係藉由異向性乾式蝕刻製程來移除。舉例而言,蝕刻製程可包含利用反應性氣體的乾式蝕刻製程,其係選擇性蝕刻虛擬閘極72,而微量或不蝕刻第一層間介電質88或閘極間隙壁86。每一個凹槽90暴露及/或覆蓋各別鰭片52的通道區域58。每一個通道區域58係設置在相鄰對的磊晶源極/汲極區域82/83之間。在移除過程中,當虛擬閘極72被蝕刻時,
虛擬介電層60可用做蝕刻中止層。然後,在虛擬閘極72移除之後,虛擬介電層60可選擇性地被移除。
在圖19A及圖19B中,閘極介電層92及閘極電極94係形成為n型區域50N內的取代閘極,且在圖20A及圖20B中,閘極介電層92及閘極電極94係形成為p型區域50P內的取代閘極。圖20C係繪示圖19B及圖20B之區域89的詳細視圖。閘極介電層92包含沉積在凹槽90內的一或多層,例如在鰭片52之頂表面及側壁上,及在閘極封合間隙壁80/閘極間隙壁86之側壁上。閘極介電層92亦形成在第一層間介電質88之頂表面上。在一些實施例中,閘極介電層92包含一或多個介電層,例如一或多層氧化矽、氮化矽、金屬氧化物、金屬矽酸鹽等。舉例而言,在一些實施例中,閘極介電層92包含藉由熱或化學氧化形成之氧化矽的界面層及上方的高k介電材料,例如金屬氧化物或鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及前述之組合的矽酸鹽。閘極介電層92可包含k值係大於約7.0的介電層。閘極介電層92的製造方法包含分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積、電漿輔助化學氣相沉積等。在虛擬閘極介電質60之部分保留在凹槽90內的實施例中,閘極介電層92可包含虛擬閘極介電質60的材料[例如二氧化矽(SiO2)]。
閘極電極94係分別沉積在閘極介電層92上,並填充凹槽90的剩餘部分。閘極電極94可包括含金屬材料,例如氮化鈦(TiN)、氧化鈦(TiO)、氮化鉭(TaN)、碳化
鉭(TaC)、鈷、釕、鋁、鎢、前述之組合或前述之多層。舉例而言,雖然單一的閘極電極94係繪示於圖19B及圖20B中,閘極電極94可包含任何數目的襯墊層94A、任何數目的功函數調整層94B及填充材料94C,如圖20C所示。在凹槽90填充之後,可進行平坦化製程(例如化學機械研磨),以移除閘極介電層92的多餘部分及閘極電極94的材料,其係在層間介電質88之頂表面上的多餘部分。因此,閘極電極94及閘極介電層92的材料之剩餘部分形成所得之鰭式場效電晶體的取代閘極。閘極電極94及閘極介電層92亦可共同地稱為「閘極堆疊」。閘極及閘極堆疊可沿著鰭片52之通道區域58的側壁延伸。
閘極介電層92在n型區域50N及p型區域50P內的形成可同時發生,以使在每一個區域內的閘極介電層92係由相同材料所形成,且閘極電極94的形成可同時發生,以使在每一個區域內的閘極電極94係由相同材料所形成。在一些實施例中,在每一個區域內的閘極介電層92係藉由不同的製程所形成,以使閘極介電層92可為不同的材料,及/或在每一個區域內的閘極電極94係藉由不同的製程所形成,以使閘極電極94可為不同的材料。當利用不同的製程時,可利用各種遮蔽步驟,以遮蔽及暴露適當的區域。
在圖21A及圖21B中,閘極罩幕96係形成在n型區域50N內的閘極堆疊(包含閘極介電層92及對應的閘極電極94)上,且在圖22A及圖22B中,閘極罩幕96係
形成在p型區域50P內的閘極堆疊(包含閘極介電層92及對應的閘極電極94)上。每一個閘極罩幕96可設置在閘極間隙壁86的相對部分之間。在一些實施例中,形成每一個閘極罩幕96包含使閘極堆疊凹陷,則凹槽係形成在閘極堆疊正上方,且在閘極間隙壁86的相對部分之間。包含一或多層介電材料(例如氮化矽、氮氧化矽等)的閘極罩幕96係填充在凹槽內,接著以平坦化製程移除介電材料延伸在第一層間介電質88上的多餘部分。
亦如圖21A與圖21B及圖22A與圖22B所示,第二層間介電質108係沉積在第一層間介電質88上。在一些實施例中,第二層間介電質108為流動式薄膜,其係藉由流動式化學氣相沉積法所形成。在一些實施例中,第二層間介電質108係由介電材料(例如磷矽玻璃、硼矽玻璃、硼摻雜磷矽玻璃、未摻雜矽玻璃等)所形成,且可藉由任何合適的方法來沉積,例如化學氣相沉積及電漿輔助化學氣相沉積。在一實施例中,第二層間介電質108可包含氧化矽。後續形成的閘極接點110(圖23A至圖24B)穿透n型區域50N及p型區域50P之每一者的第二層間介電質108及閘極罩幕96,以接觸凹陷的閘極電極94之頂表面。
根據一些實施例,在圖23A及圖23B中,在n型區域50N中,源極/汲極接點112係形成為穿過第二層間介電質108、第一層間介電質88及接觸蝕刻中止層87,且在圖24A至圖24D中,在p型區域50P中,源極/汲
極接點112係形成為穿過第二層間介電質108、第一層間介電質88、接觸蝕刻中止層87及第二覆蓋層75。亦在圖23A至圖24D中,在n型區域50N及p型區域50P二者中,閘極接點110係形成為穿過第二層間介電質108及閘極罩幕96。在n型區域50N中,源極/汲極接點112的開口係形成為穿過接觸蝕刻中止層87、第一層間介電質88及第二層間介電質108,而閘極接點110的開口係形成為穿過第二層間介電質108及閘極罩幕96。在p型區域50P中,源極/汲極接點112的開口係形成為穿過接觸蝕刻中止層87、第一層間介電質88、第二層間介電質108及第二覆蓋層75,而閘極接點110的開口係形成為穿過第二層間介電質108及閘極罩幕96。開口可利用合適的光微影及蝕刻技術來形成。以形成開口的一具體例而言,罩幕可形成在第二層間介電質108上,且罩幕可利用合適的光微影技術而被圖案化。然後,在n型區域50N中的接觸蝕刻中止層87、第一層間介電質88及第二層間介電質108可利用罩幕做為蝕刻罩幕來蝕刻,以形成源極/汲極接點112的開口,且第二層間介電質108可利用罩幕做為蝕刻罩幕來蝕刻,以形成閘極接點110的開口。在p型區域50P中的接觸蝕刻中止層87、第一層間介電質88、第二層間介電質108及第二覆蓋層75亦可利用罩幕做為蝕刻罩幕來蝕刻,以形成源極/汲極接點112的開口,且第二層間介電質108可利用罩幕做為蝕刻罩幕來蝕刻,以形成閘極接點110的開口。
在一些實施例中,蝕刻製程為乾式蝕刻製程。舉例而言,當第一層間介電質88及第二層間介電質108係由氧化矽所組成時,乾式蝕刻製程可包含蝕刻氣體溶液,其包含氟化氫(HF)。在一實施例中,乾式蝕刻製程可包含氟基電漿蝕刻製程。在蝕刻製程之後,罩幕係被移除,例如藉由任何合適的灰化製程。在蝕刻製程中,相較於磊晶源極/汲極區域83的材料,覆蓋層75的蝕刻選擇性是高的。因此,覆蓋層75做為犠牲層並減緩磊晶源極/汲極區域83的蝕刻,且在蝕刻製程中減少磊晶源極/汲極區域的耗損。此可造成磊晶源極/汲極區域83及後續形成之源極/汲極接點112之間的較低電阻。
在形成開口之後,襯墊可形成在開口內。襯墊可包含例如鈷、鈦、鎳等的金屬。襯墊可藉由例如原子層沉積、化學氣相沉積、物理氣相沉積等的沉積製程來沉積。可在襯墊上進行退火製程,以形成矽化物76在磊晶源極/汲極區域82/83上,而任何剩餘的未反應襯墊材料係藉由蝕刻製程來移除。在一實施例中,矽化物76可包含金屬矽化物,例如矽化鈦、矽化鈷、矽化鎳等。接著,擴散阻障層、黏著層等係形成在開口內。襯墊可包含鈦、氮化鈦、鉭、氮化鉭等。擴散阻障層可藉由例如原子層沉積、化學氣相沉積、物理氣相沉積等的沉積製程來沉積。然後,導電材料係形成在開口內。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可進行平坦化製程(例如化學機械研磨),以自層間介電質108之表面移除多餘的材料。剩餘的導電
材料形成源極/汲極接點112及閘極接點110在開口內。源極/汲極接點112係實體連接及電性耦合至磊晶源極/汲極區域82/83,且閘極接點110係係實體連接及電性耦合至閘極電極94。源極/汲極接點112及閘極接點110可以不同的製程來形成,或可以相同的製程來形成。雖然所示為形成在相同剖面中,應理解的是,源極/汲極接點112及閘極接點110之每一者可形成在不同剖面中,其可避免接點的短路。
圖24C係顯示在源極/汲極接點112形成之後,在p型區域50P內的合併磊晶源極/汲極區域83之區域100。區域100顯示在形成源極/汲極接點112的開口之前,第二覆蓋層75之頂表面的位置。通道區域58係以虛線顯示。在一實施例中,在形成源極/汲極接點112在p型區域50P內之開口的蝕刻製程期間,磊晶源極/汲極區域83及第二覆蓋層75係被凹陷第六高度H6,其中第六高度H6為5nm至25nm。在一實施例中,磊晶源極/汲極區域83在相鄰凹陷鰭片52的內側壁之間的中心點具有第七高度H7,其中第七高度H7可為5nm至30nm。在一實施例中,自凹陷鰭片52之最頂表面之中心的第一點至在第一點正上方的矽化物層76之底表面上的第二點的合併磊晶源極/汲極區域83之第八高度H8為5nm至50nm。在一實施例中,第七高度H7及第八高度H8係大於20nm。在一實施例中,在矽化物層76之最低點與通道區域58之最頂點之間的高度差為第九高度H9,其中第九
高度H9至多15nm。在一實施例中,自第二覆蓋層75之第一外側壁上的第一點至第二覆蓋層75之第二外側壁上的第二點的第五寬度W5為20nm至50nm,其中矽化物76之最低點係與第一點及第二點在相同高度。在合併磊晶源極/汲極區域83包含N個相鄰凹陷鰭片52的一實施例中,在第二覆蓋層75之最外點之間的第六寬度W6係N和30nm至50nm之範圍內任意值的結果。在一實施例中,第二覆蓋層75之第一部分的第五厚度T5為0.5nm至2nm,其中第二覆蓋層75之第一部分係在第二覆蓋層75之最外點之上。在一實施例中,第二覆蓋層75之第二部分的第六厚度T6係至多2nm,其中第二部分係在第二覆蓋層75之最外點之下。在一實施例中,第五厚度T5係大於第六厚度T6。
圖24D係顯示在源極/汲極接點112形成之後,在p型區域50P內的磊晶源極/汲極區域83之區域200。磊晶源極/汲極區域83係與相鄰的磊晶源極/汲極區域分開。區域200顯示在形成源極/汲極接點112的開口之前,第二覆蓋層75之頂表面的位置。通道區域58係以虛線顯示。在一實施例中,在形成源極/汲極接點112在p型區域50P內之開口的蝕刻製程期間,磊晶源極/汲極區域83及第二覆蓋層75係被凹陷第十高度H10,其中第十高度H10為5nm至25nm。在一實施例中,在矽化物層76之最低點與通道區域58之最頂點之間的高度差為第十一高度H11,其中第十一高度H11為3nm至25nm。在
一實施例中,自凹陷鰭片52之最頂表面之中心的第一點至在第一點正上方的矽化物層76之底表面上的第二點的磊晶源極/汲極區域83之第十二高度H12為20nm至45nm。在一實施例中,第十二高度H12可大於40nm。在一實施例中,自第二覆蓋層75之第一外側壁上的第一點至第二覆蓋層75之第二外側壁上的第二點的第七寬度W7為10nm至30nm,其中矽化物76之最低點係與第一點及第二點在相同高度。在一實施例中,在第二覆蓋層75之最外點之間的第八寬度W8為25nm至45nm。在一實施例中,第二覆蓋層75之第一部分的第七厚度T7為0.5nm至2nm,其中第二覆蓋層75之第一部分係自第二覆蓋層75之最頂點延伸至第二覆蓋層75之最外點。在一實施例中,第二覆蓋層75之第二部分的第八厚度T6係至多2nm,其中第二部分係自第二覆蓋層75之最低點延伸至第二覆蓋層75之最外點。在一實施例中,第七厚度T7係大於第八厚度T8。
各種實施例亦可實施在包含替代奈米結構場效電晶體或與鰭式場效電晶體結合之其他類型電晶體[例如平面電晶體、環繞閘極(gate-all-around,GAA)電晶體等]的晶粒。舉例而言,在圖25至圖47D中,參照特定內容所述的實施例,即包含奈米結構場效電晶體的晶粒。在一些實施例中,p型源極/汲極區域係磊晶成長為具有富硼覆蓋層。由於形成各別源極/汲極接點之開口的蝕刻製程,富硼覆蓋層為減少或避免源極/汲極區域之磊晶材料的耗損
做準備,且為放置各別源極/汲極區域之高鍺(Ge)含量區域上的源極/汲極接點做準備。本文討論的各種實施例係考慮到減少源極/汲極接點的接觸電阻及增加所得半導體裝置的電性效能。
圖25係繪示根據一些實施例之奈米結構場效電晶體(例如奈米線場效電晶體、奈米片場效電晶體等)。圖25係三維視圖,其中為了清楚繪示,省略奈米結構場效電晶體的一些特徵。奈米結構場效電晶體可為奈米片場效電晶體(nanosheet field-effect transistors,NSFETs)、奈米線場效電晶體(nanowire field-effect transistors,NWFETs)、環繞式閘極場效電晶體(gate-all-around field-effect transistors,GAAFETs)等。
奈米結構場效電晶體包含在基材350(例如半導體基材)上之半導體鰭片362上的奈米結構366(例如奈米片、奈米線等),其中奈米結構366係做為奈米結構場效電晶體的通道區域。奈米結構366可包含p型奈米結構、n型奈米結構或其組合。隔離區域372(例如淺溝渠隔離結構)係設置在相鄰半導體鰭片362之間,其可自相鄰隔離區域372之間突出在相鄰隔離區域372上。雖然隔離區域372係描述/繪示為與基材350分開,如本文所述之用語「基材」可表示單獨的半導體基材或半導體基材與隔離區域的組合。除此之外,雖然半導體鰭片362之底部部分係繪示為與基材350分開,半導體鰭片362之底部部分可為單一且與基
材350連續的材料。在本說明書中,半導體鰭片362表示自相鄰隔離區域372之間並延伸於相鄰隔離區域372上的部分。
包含閘極介電層及閘極電極層(未個別繪示)的閘極結構430係在半導體鰭片362之頂表面上,並沿著奈米結構366之頂表面、側壁及底表面。磊晶源極/汲極區域408係設置在閘極結構430之相對側的半導體鰭片362上。磊晶源極/汲極區域408可分散在各半導體鰭片362之間。舉例而言,相鄰的磊晶源極/汲極區域408可電性連接,例如透過以相同源極/汲極接點連接磊晶源極/汲極區域408。
絕緣鰭片382(亦稱為混合鰭片或介電鰭片)係設置在隔離區域372上,且在相鄰磊晶源極/汲極區域408之間。絕緣鰭片382阻擋磊晶成長,以在磊晶成長期間,防止一些磊晶源極/汲極區域408合併。舉例而言,絕緣鰭片382可在記憶體裝置的記憶單元邊界形成,以分開相鄰記憶單元的磊晶源極/汲極區域408。
圖25進一步繪示用於後續圖式中的參考剖面。剖面D-D’係沿著半導體鰭片362的縱軸,且在例如奈米結構場效電晶體之磊晶源極/汲極區域408之間的電流方向上。剖面E-E’係沿著閘極結構430的縱軸,且在例如與奈米結構場效電晶體之磊晶源極/汲極區域408之間的電流方向垂直的方向上。剖面F-F’係平行於剖面E-E’,並延伸穿過奈米結構場效電晶體之磊晶源極/汲極區域
408。為了清晰易懂,後續圖式係表示這些參考剖面。
圖26、圖27、圖28、圖29A至圖29C、圖30A至圖30C、圖31A至圖31C、圖32A至圖32C、圖33A至圖33C、圖34A至圖34C、圖35A至圖35C、圖36A至圖36C、圖37A至圖37C、圖38A至圖38C、圖39A至圖39C、圖40A至圖40D、圖41A至圖41C、圖42A至圖42C、圖43A至圖43C、圖44A至圖44C、圖45A至圖45C、圖46A至圖46C及圖47A至圖47D係繪示根據一些實施例之製造奈米結構場效電晶體之中間階段的視圖。圖26、圖27及圖28係三維視圖。圖29A至圖47A係繪示沿著圖25中的參考剖面D-D’之相似剖面的剖面視圖。圖29B至圖47B係繪示沿著圖25中的參考剖面E-E’之相似剖面的剖面視圖。圖29C至圖47C、圖40D及圖47D係繪示沿著圖25中的參考剖面F-F’之相似剖面的剖面視圖。
在圖26中,提供基材350以形成奈米結構場效電晶體。基材350可為半導體基材、例如主體半導體、絕緣體上覆半導體(semiconductor-on-insulator,SOI)基材等,其可為摻雜(例如以p型或n型摻質)或未摻雜。基材350可為晶圓,例如矽晶圓。一般而言,SOI基材係形成在絕緣層上的半導體材料層。舉例而言,絕緣層可為埋入氧化層(buried oxide,BOX)、氧化矽層等。絕緣層係提供在基材上,一般為矽或玻璃基材。也可使用其他基材,例如多層或梯度基材。在一些實施例中,基材350
的半導體材料可包含矽;鍺;包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體;包含矽鍺、磷砷化鎵、砷化銦鋁、砷化鋁鎵、砷銦化鎵、磷化銦鎵及/或磷銦砷化鎵的合金半導體;前述之組合等。
基材350具有n型區域350N及p型區域350P。n型區域350N可用以形成n型裝置,例如NMOS電晶體(如n型奈米結構場效電晶體),而p型區域350P可用以形成p型裝置,例如PMOS電晶體(如p型奈米結構場效電晶體)。n型區域350N係實體上與p型區域350P分開(如所繪示的分隔線350i),且任意數量的裝置特徵(例如其他主動裝置、摻雜區域、隔離結構等)係設置在n型區域350N及p型區域350P之間。雖然係繪示一個n型區域350N及一個p型區域350P,可提供任意數量的n型區域350N及p型區域350P。
基材350係以p型或n型雜質輕摻雜。在基材350之上部部分上進行防穿通(anti-punch-through,APT)佈植,以形成APT區域。在防穿通佈植過程中,雜質可植入基材350內。雜質的導電型態係與源極/汲極區域在後續n型區域350N及p型區域350P之每一者內形成的導電型態相反。APT區域可延伸在奈米結構場效電晶體內的源極/汲極區域下。APT區域可用以減少自源極/汲極區域至基材350的漏電。在一些實施例中,在APT區域內的摻雜濃度為1018cm-3至1019cm-3。
多層堆疊352係形成在基材350上。多層堆疉
352包含交替的第一半導體層354及第二半導體層356。第一半導體層354係由第一半導體材料所組成,而第二半導體層356係由第二半導體材料所組成。半導體材料之每一者係選自基材350之候選半導體材料。在繪示的實施例中,多層堆疊352包含各三層的第一半導體層354及第二半導體層356。舉例而言,多層堆疊352可包含每一者為一至十層的第一半導體層354及第二半導體層356。
在繪示的實施例中,且會在後續更詳細說明,第一半導體層354會被移除,且第二半導體層356會被圖案化,以形成在n型區域350N及p型區域350P二者內之奈米結構場效電晶體的通道區域。第一半導體層354為犠牲層(或虛擬層),其會在後續製程中被移除,以暴露出第二半導體層356之頂表面及底表面。相對於蝕刻第二半導體層356,第一半導體層354的第一半導體材料係具有高蝕刻選擇性的材料,例如矽鍺。第二半導體層356的第二半導體材料係對n型裝置及p型裝置皆適合的材料,例如矽。每一個第一半導體層354之厚度可為5nm至30nm。每一個第二半導體層356之厚度可為5nm至30nm。
在另一實施例中(未分別繪示),第一半導體層354會被圖案化,以形成在一區域(例如p型區域350P)內的奈米結構場效電晶體的通道區域,且第二半導體層356會被圖案化,以形成在另一區域(例如n型區域350N)內的奈米結構場效電晶體的通道區域。第一半導體層354的第一半導體材料可為適合p型裝置的材料,例如矽鍺(例如
SixGe1-x,其中x之範圍為0至1)、純鍺、III-V族化合物半導體、II-VI族化合物半導體等。第二半導體層356的第二半導體材料可為適合n型裝置的材料,例如矽、碳化矽、III-V族化合物半導體、II-VI族化合物半導體等。第一半導體材料及第二半導體材料可具有相對於蝕刻彼此的高蝕刻選擇性,故在n型區域350N內,可在不移除第二半導體層356下,移除第一半導體層354,且在p型區域350P內,可在不移除第一半導體層354下,移除第二半導體層356。
在圖27中,溝槽係在基材350及多層堆疊352(參照圖26)內被圖案化,以形成半導體鰭片362、奈米結構364及奈米結構366。半導體鰭片362係在基材350內被圖案化的半導體條。奈米結構364及奈米結構366分別包含第一半導體層354及第二半導體層356之剩餘部分。溝槽可藉由任何合適的蝕刻製程而被圖案化,例如反應性離子蝕刻(reactive ion etch,RIE)、中性粒子束蝕刻(neutral beam etch,NBE)、相似者或前述之組合。蝕刻可為異向性。
半導體鰭片362、奈米結構364及奈米結構366可藉由任何合適的方法來被圖案化。舉例而言,半導體鰭片362、奈米結構364及奈米結構366可利用一或多個微影製程而被圖案化,其包含雙重成像(double-patterning)或多重成像(multi-patterning)製程。一般而言,雙重成像或多重成像製程結合光微影及
自對準製程,使圖案被製作為例如間距小於利用單一且直接的光微影製程所獲得之間距。舉例而言,在一實施例中,犠牲層係形成在基材上,並利用光微影製程來圖案化。利用自對準製程而沿著圖案化犠牲層形成間隙壁。然後,犠牲層被移除,而剩餘的間隙壁可用做罩幕358,以圖案化半導體鰭片362、奈米結構364及奈米結構366。
在一些實施例中,半導體鰭片362、奈米結構364及奈米結構366之每一者的寬度為8nm至40nm。在繪示的實施例中,半導體鰭片362、奈米結構364及奈米結構366在n型區域350N及p型區域350P具有實質相等的寬度。在另一實施例中,在一區域(例如n型區域350N)內的半導體鰭片362、奈米結構364及奈米結構366係比在另一區域(例如p型區域350P)內的半導體鰭片362、奈米結構364及奈米結構366更寬或更窄。再者,半導體鰭片362、奈米結構364及奈米結構366之每一者係繪示為皆具有一致的寬度時,在另一些實施例中,半導體鰭片362、奈米結構364及/或奈米結構366可具有錐狀側壁,以使半導體鰭片362、奈米結構364及/或奈米結構366之每一者的寬度係以朝著基材350的方向持續地增加。在此實施例中,奈米結構364及奈米結構366之每一者可具有不同的寬度及為梯形。
在圖28中,淺溝渠隔離區域372係形成在基材350上且在相鄰半導體鰭片362之間。淺溝渠隔離區域372係設置在半導體鰭片362之至少一部分的周圍,以使
奈米結構364及奈米結構366之至少一部分自相鄰淺溝渠隔離區域372之間突出。在繪示的實施例中,淺溝渠隔離區域372之頂表面係在半導體鰭片362之頂表面之下。在一些實施例中,淺溝渠隔離區域372之頂表面係在半導體鰭片362之頂表面之上或共平面(在製程變化中)。
淺溝渠隔離區域372可藉由任何合適的方法來形成。舉例而言,絕緣材料可形成在基材350、奈米結構364及奈米結構366上且在相鄰半導體鰭片362之間。絕緣材料可為氧化物(例如氧化矽)、氮化物(例如氮化矽)、相似者或前述之組合,其可藉由化學氣相沉積製程來形成,例如高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)、相似者或前述之組合。可使用藉由任何合適之製程所形成的其他絕緣材料。在一些實施例中,絕緣材料係由流動式化學氣相沉積所形成的氧化矽。絕緣材料一形成時,可進行退火製程。在一實施例中,絕緣材料係形成為使過量的絕緣材料覆蓋奈米結構364及奈米結構366。雖然淺溝渠隔離區域372之每一者係繪示為單層,一些實施例可使用多層。舉例而言,在一些實施例中,襯墊(未分別繪示)可先沿著基材350、半導體鰭片362、奈米結構364及奈米結構366之表面形成。然後,例如前述之絕緣材料可形成在襯墊上。
接著,對絕緣材料施以移除製程,以移除在奈米結構364及奈米結構366上的過量絕緣材料。在一些實施例
中,可使用平坦化製程,例如化學機械研磨、回蝕製程、前述之組合等。在一些實施例中,平坦化製程可暴露出罩幕358或移除罩幕358。在平坦化製程之後,絕緣材料及罩幕358之頂表面或奈米結構364及奈米結構366係共平面(在製程變化中)。因此,罩幕358(若存在的話)之頂表面或奈米結構64及奈米結構66係透過絕緣材料而被暴露。在繪示的實施例中,罩幕358保留在奈米結構364及奈米結構366上。接著,絕緣材料係被凹陷,以形成淺溝渠隔離區域372。絕緣材料係被凹陷,以使奈米結構364及奈米結構366之至少一部分自絕緣材料之相鄰部分之間突出。再者,藉由施以適當的蝕刻,淺溝渠隔離區域372之頂表面可具有如繪示的平坦表面、凸面、凹面(例如碟狀)或前述之組合。淺溝渠隔離區域70之頂表面可藉由適當的蝕刻而形成平坦的、凸面的及/或凹面的。絕緣材料可利用任何合適的蝕刻製程而被凹陷,例如對絕緣材料之材料有選擇性者(例如相對於半導體鰭片362、奈米結構364及奈米結構366之材料,選擇性地以較快的速率蝕刻淺溝渠隔離區域372之絕緣材料)。舉例而言,可利用稀釋氫氟酸(dilute hydrofluoric acid)(dHF)進行氧化物的移除。
前述製程僅為半導體鰭片362、奈米結構364及奈米結構366如何形成的一具體例。在一些實施例中,鰭片362及/或奈米結構364、奈米結構366可利用罩幕及磊晶成長製程來形成。舉例而言,介電層可形成在基材350
之頂表面上,且溝槽可被蝕刻穿過介電層,以暴露出下方的基材350。磊晶結構可磊晶成長在溝槽內,且介電層可被凹陷,以使磊晶結構自介電層突出而形成鰭片362及/或奈米結構364、奈米結構366。磊晶結構可包含交替的前述半導體材料,例如第一半導體材料及第二半導體材料。在磊晶結構係磊晶成長的一些實施例中,磊晶成長的材料可在成長期間被原位摻雜,其可排除之前及/或之後的佈植,雖然原位及佈植摻雜可一起使用。
再者,適當的阱區(未分別繪示)可形成在奈米結構364、奈米結構366、半導體鰭片362及/或基材350中。阱區之導電型態係與源極/汲極區域之導電型態相反,其中源極/汲極區域係於後續形成在n型區域350N及p型區域350P之每一者內。在一些實施例中,p型阱係形成在n型區域350N內,而n型阱係形成在p型區域350P內。在一些實施例中,p型阱或n型阱係形成在n型區域350N及p型區域350P二者內。
在具有不同阱型態的實施例中,對n型區域350N及p型區域350P的不同佈植步驟可利用例如光阻的罩幕(未分別繪示)而達成。舉例而言,光阻係形成在n型區域350N內的半導體鰭片362、奈米結構364、奈米結構366及淺溝渠隔離區域372上。光阻係被圖案化,以暴露出p型區域350P。光阻可藉由利用旋塗技術形成,且可利用合適的光微影技術而被圖案化。一旦光阻被圖案化,在p型區域350P內可進行n型雜質佈植,而光阻可做為罩幕以
實質上防止n型雜質被植入n型區域350N中。n型雜質可為磷、砷、銻等,其植入區域內的濃度為1013cm-3至1014cm-3。在佈植之後,光阻可被移除,例如藉由任何合適的灰化製程。
接續或在p型區域350P的佈植之前,例如光阻的罩幕(未分別繪示)係形成在p型區域350P內的半導體鰭片362、奈米結構364、奈米結構366及淺溝渠隔離區域372上。光阻係被圖案化,以暴露出n型區域350N。光阻可藉由利用旋塗技術形成,且可利用合適的光微影技術而被圖案化。一旦光阻被圖案化,在n型區域350N內可進行p型雜質佈植,而光阻可做為罩幕以實質上防止p型雜質被植入p型區域350P中。p型雜質可為硼、氟化硼、銦等,其植入區域內的濃度為1013cm-3至1014cm-3。在佈植之後,光阻可被移除,例如藉由任何合適的灰化製程。
在n型區域350N及p型區域350P的佈植之後,可進行退火,以修復佈植破壞並活化被植入的p型及/或n型雜質。在磊晶結構係為了半導體鰭片362、奈米結構364及/或奈米結構366磊晶成長的一些實施例中,成長的材料可在成長期間被原位摻雜,其可排除佈植,雖然原位及佈植摻雜可一起使用。
圖29A至圖29C、圖30A至圖30C、圖31A至圖31C、圖32A至圖32C、圖33A至圖33C、圖34A至圖34C、圖35A至圖35C、圖36A至圖36C、圖37A
至圖37C、圖38A至圖38C、圖39A至圖39C、圖40A至圖40D、圖41A至圖41C、圖42A至圖42C、圖43A至圖43C、圖44A至圖44C、圖45A至圖45C、圖46A至圖46C及圖47A至圖47D係繪示實施例裝置的製造中的各種額外步驟。圖29A至圖29C、圖30A至圖30C、圖31A至圖31C、圖32A至圖32C、圖33A至圖33C、圖34A至圖34C、圖35A至圖35C及圖37B至圖47B係繪示n型區域350N及p型區域350P其中一者的特徵。舉例而言,繪示的結構可應用於n型區域350N及p型區域350P二者。在n型區域350N及p型區域350P之結構內的差異(若有任何差異)係依隨附之每一個圖式說明來解釋。圖37A至圖47A及圖37C至圖47C係繪示在n型區域350N及p型區域350P內的特徵。圖40D及圖47D係繪示在p型區域350P內的特徵。
會在後續詳細說明的是,絕緣鰭片382會形成在半導體鰭片362之間。圖29A至圖47A係繪示半導體鰭片362及形成於其上的結構。圖29B至圖47B及圖29C至圖47C之每一者係繪示二個半導體鰭片362及絕緣鰭片382與淺溝渠隔離區域372之部分,其係在設置在各剖面中的二個半導體鰭片362之間。
在圖29A至圖29C中,犠牲層374係共形地形成在罩幕358、半導體鰭片362、奈米結構364、奈米結構366及淺溝渠隔離區域372上。犠牲層374可由半導體材料(例如選自基材350的候選半導體材料之一者)所組
成,其可藉由例如氣相磊晶(vapor phase epitaxy,VPE)或分子束磊晶(molecular beam epitaxy,MBE)的製程成長,藉由例如化學氣相沉積(chemical vapor deposition,CVD)或原子層沉積(atomic layer deposition,ALD)的製程沉積等。舉例而言,犠牲層374可由矽或矽鍺所組成。
在圖30A至圖30C中,犠牲層374係利用蝕刻製程(例如乾式蝕刻、濕式蝕刻或其組合)而被圖案化,以形成犠牲間隙壁376。蝕刻製程可為異向性。由於蝕刻製程,在罩幕358、奈米結構364及奈米結構366上的犠牲層374之部分係被移除,且在奈米結構364及奈米結構366之間的淺溝渠隔離區域372係部分地被暴露。犠牲間隙壁376係設置在淺溝渠隔離區域372上,且進一步設置在罩幕358、半導體鰭片362、奈米結構364及奈米結構366之側壁上。
在後續製程步驟中,虛擬閘極層384可沉積在犠牲間隙壁376之部分上(參照以下,圖35A至圖35C),且虛擬閘極層384可被圖案化,以提供虛擬閘極394(參照以下,圖36A至圖36C)。然後,前述虛擬閘極394(例如虛擬閘極層384被圖案化的部分)及犠牲間隙壁376係被功能性閘極堆疊所取代。特別地,在描繪絕緣鰭片之邊界的製程中,犠牲間隙壁376係用做暫時的間隙壁,且犠牲間隙壁376及奈米結構364會在後續被移除且被包圍奈米結構366的閘極結構所取代。犠牲間隙壁376係由與奈
米結構366之材料的蝕刻具有高蝕刻選擇性的材料所組成。舉例而言,犠牲間隙壁376可由與奈米結構364相同的半導體材料所組成,故犠牲間隙壁376及奈米結構364可在單一製程步驟中被移除。取而代之地,犠牲間隙壁376及奈米結構364係由不同的材料所組成。
圖31A至圖31C、圖32A至圖32C及圖33A至圖33C係繪示絕緣鰭片382(亦稱為混合鰭片或介電鰭片)形成在犠牲間隙壁376與相鄰的半導體鰭片362、奈米結構364及奈米結構366之間。絕緣鰭片382可使後續形成之源極/汲極區域(參照以下,圖40A至圖40C)彼此之間絕緣及實體分離。
在圖31A至圖31C中,襯墊378A及填充材料378B係形成在結構上。襯墊378A係共形地沉積在淺溝渠隔離區域372、罩幕358、半導體鰭片362、奈米結構364、奈米結構366及犠牲間隙壁376之暴露表面上,其係藉由合適的沉積製程,例如原子層沉積、化學氣相沉積、物理氣相沉積等。襯墊378A可由一或多個具有高蝕刻選擇性的介電材料所組成,其係相對於蝕刻半導體鰭片362、奈米結構364、奈米結構366及犠牲間隙壁376(例如氮化矽、碳氮化矽、碳氮氧化矽等的氮化物)。在後續填充材料378B的形成過程中,襯墊378A可減少犠牲間隙壁376的氧化,其係有助於後續犠牲間隙壁的移除。
接著,填充材料378B係形成在襯墊378A上,填充半導體鰭片362、奈米結構364及奈米結構366之間
未被犠牲間隙壁376或襯墊378A填充的剩餘區域。填充材料378B可形成絕緣鰭片382之下部部分的主體(參照圖33A至圖33C),以使後續形成之源極/汲極區域(參照圖40C)彼此之間絕緣。填充材料378B可藉由合適的沉積製程形成,例如原子層沉積、化學氣相沉積、物理氣相沉積等。填充材料378B可由一或多個具有高蝕刻選擇性的介電材料所組成,其係相對於蝕刻半導體鰭片362、奈米結構364、奈米結構366、犠牲間隙壁376及襯墊378A(例如氧化矽、氮氧化矽、碳氮氧化矽、碳氧化矽等或前述之組合的氧化物)。
在圖32A至圖32C中,在罩幕358之頂表面上的襯墊378A及填充材料378B之上部部分係利用一或多個合適的平坦化及/或蝕刻製程而移除。蝕刻製程係對襯墊378A及填充材料378B具有選擇性(例如相對於犠牲間隙壁376及/或罩幕358,選擇性地以較快的速度蝕刻襯墊378A及填充材料378B)。在蝕刻之後,襯墊378A及填充材料378B之頂表面係低於罩幕358之頂表面。在另一些實施例中,填充材料378B係被凹陷至低於罩幕358之頂表面,而襯墊378A係維持為與罩幕358具有相同的高度。
圖33A至圖33C係繪示形成介電覆蓋層380在襯墊378A及填充材料378B上,藉以形成絕緣鰭片382。介電覆蓋層380可填充襯墊378A上、填充材料378B上及罩幕358之側壁之間的剩餘區域。介電覆蓋層380可藉
由合適的沉積製程形成,例如原子層沉積、化學氣相沉積、物理氣相沉積等。介電覆蓋層380係由一或多個具有高蝕刻選擇性的介電材料所組成,其係相對於蝕刻半導體鰭片362、奈米結構364、奈米結構366、犠牲間隙壁376、襯墊378A及填充材料378B。舉例而言,介電覆蓋層380可包含高介電常數(k)材料,例如氧化鉿、氧化鋯、氧化鋁鋯、氧化鋁鉿、氧化矽鉿、氧化鋁等或前述之組合。
介電覆蓋層380係形成為先覆蓋罩幕358奈米結構364及奈米結構366。接著,施以移除製程,以移除介電覆蓋層380的過量材料。在一些實施例中,可使用平坦化製程,例如化學機械研磨、回蝕製程、前述之組合等。平坦化製程暴露出罩幕358,以使罩幕358、犠牲間隙壁376及介電覆蓋層380之頂表面為共平面(在製程變化中)。在繪示的實施例中,罩幕358係在平坦化製程之後保留。在另一實施例中,罩幕358之部分或整體亦可被平坦化製程所移除。
因此,絕緣鰭片382係形成在犠牲間隙壁376之間,並接觸犠牲間隙壁376。絕緣鰭片382包含襯墊378A、填充材料378B及介電覆蓋層380。犠牲間隙壁376使絕緣鰭片382與奈米結構364及奈米結構366分開,且絕緣鰭片382的尺寸係藉由調整犠牲間隙壁376的厚度來調整。
在圖34A至圖34C中,舉例而言,罩幕358係利用蝕刻製程來移除。蝕刻製程可為濕式蝕刻,其選擇性
移除罩幕358,而不顯著地蝕刻絕緣鰭片382。再者,亦可施用蝕刻製程(或分開的選擇性蝕刻製程),以減少犠牲間隙壁376的高度至與堆疊的奈米結構364及奈米結構366相似的高度(例如在製程變化中相同)。在蝕刻製程之後,堆疊的奈米結構364及奈米結構366以及犠牲間隙壁376之最頂表面可被暴露,且可低於絕緣鰭片382的最頂表面。
在圖35A至圖35C中,虛擬閘極層384係形成在絕緣鰭片382、犠牲間隙壁376、奈米結構364及奈米結構366上。因為奈米結構364、奈米結構366及犠牲間隙壁376延伸至低於絕緣鰭片382,虛擬閘極層384係沿著絕緣鰭片382暴露的側壁設置。虛擬閘極層384係被沉積,然後被平坦化,例如藉由化學機械研磨。虛擬閘極層384係由導電或非導電材料所組成,例如無定形矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬、金屬氮化物、金屬矽化物、金屬氧化物等,其可藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積等來沉積。虛擬閘極層384亦可由半導體材料(例如選自基材350的候選半導體材料之一者)所組成,其可藉由例如氣相磊晶或分子束磊晶的製程成長,藉由例如化學氣相沉積或原子層沉積的製程沉積等。虛擬閘極層384可由高蝕刻選擇性的材料所組成,其係相對於蝕刻絕緣材料,例如絕緣鰭片382。罩幕層386可沉積在虛擬閘極層384上。罩幕層386可由介電材料所組成,例如氮化矽、氮氧
化矽等,且可利用化學氣相沉積、原子層沉積等而形成。在此具體例中,單一虛擬閘極層384及單一罩幕層386係形成為跨越n型區域350N及p型區域350P。
在圖36A至圖36C中,罩幕層386(參照圖35A至圖35C)可利用合適的光微影及蝕刻技術而被圖案化,以形成罩幕396。然後,罩幕396的圖案係藉由合適的蝕刻技術而被轉移至虛擬閘極層384(參照圖35A至圖35C),以形成虛擬閘極394。虛擬閘極394覆蓋奈米結構364及奈米結構366,其會在後續形成通道區域的製程中被暴露。罩幕396的圖案亦可用來實體分離相鄰的虛擬閘極394。虛擬閘極394之縱向方向亦是實質垂直(在製程變化中)於半導體鰭片362的縱向方向。在圖案化之後,罩幕396可選擇性地被移除,例如藉由任何合適的蝕刻技術。
犠牲間隙壁376及虛擬閘極394共同地沿著會被圖案化以形成通道區域368的奈米結構366之部分延伸。後續形成的閘極結構會取代犠牲間隙壁376及虛擬閘極394。形成虛擬閘極394在犠牲間隙壁376上使得後續形成之閘極結構具有較大的高度。
如上所述,虛擬閘極394可由半導體材料所組成。在此實施例中,奈米結構364、犠牲間隙壁376及虛擬閘極394之每一者係由半導體材料所組成。在一些實施例中,奈米結構364及犠牲間隙壁376係由第一半導體材料(例如矽鍺)所組成,而虛擬閘極394係由第二半導體材料(例如矽)所組成,因此在取代閘極製程中,虛擬閘極394係
在第一蝕刻步驟中被移除,而奈米結構364及犠牲間隙壁376係在第二蝕刻步驟中被移除。當奈米結構364及犠牲間隙壁376係由矽鍺所組成時,奈米結構364及犠牲間隙壁376可具有相似的鍺濃度,奈米結構364可具有比犠牲間隙壁376更大的鍺濃度,或犠牲間隙壁376可具有比奈米結構364更大的鍺濃度。在一些實施例中,奈米結構364係由第一半導體材料(例如矽鍺)所組成,而虛擬閘極394係由第二半導體材料(例如矽)所組成,因此在取代閘極製程中,犠牲間隙壁376及虛擬閘極394係一起在第一蝕刻步驟中被移除,而奈米結構364係在第二蝕刻步驟中被移除。
在形成虛擬閘極394之後,閘極間隙壁398係形成在奈米結構364及奈米結構366上,且在罩幕396(若存在的話)及虛擬閘極394之暴露側壁上。閘極間隙壁398可藉由共形地沉積一或多個介電材料在虛擬閘極394上,接著蝕刻介電材料而形成。合適的介電材料可包含氧化矽、氮化矽、氮氧化矽、碳氮氧化矽等,其可藉由共形沉積製程而形成,例如化學氣相沉積、電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)、原子層沉積、電漿輔助原子層沉積(plasma-enhanced atomic layer deposition,PEALD)等。可使用藉由任何合適製程所形成的其他絕緣材料。可進行任何合適的蝕刻製程(例如乾式蝕刻、濕式蝕刻等或其組合),以圖案化介電材料。蝕刻可為異向性。當
被蝕刻時,介電材料具有部分留在虛擬閘極394之側壁上(因此形成閘極間隙壁398)。在蝕刻之後,閘極間隙壁398可具有彎曲的側壁或可具有筆直的側壁。
再者,可進行佈植以形成輕摻雜源極/汲極(LDD)區域(未分別繪示)。在具有不同裝置型態的實施例中,相似於前述阱區的佈植,例如光阻的罩幕(未分別繪示)可形成在n型區域350N上,而暴露出p型區域350P,且適當型態(例如p型)的雜質可植入暴露在p型區域350P內的半導體鰭片362及/或奈米結構364、奈米結構366。然後,罩幕可被移除。接著,例如光阻的罩幕(未分別繪示)可形成在p型區域350P上,而暴露出n型區域350N,且適當型態(例如n型)的雜質可植入暴露在n型區域350N內的半導體鰭片362及/或奈米結構364、奈米結構366。然後,罩幕可被移除。n型雜質可為任何前述之n型雜質,而p型雜質可為任何前述之p型雜質。在佈植期間,通道區域368維持為被虛擬閘極394所覆蓋,故通道區域368保持實質不具有佈植的雜質,以形成輕摻雜源極/汲極區域。輕摻雜源極/汲極區域具有之雜質濃度可為1015cm-3至1019cm-3。可利用退火,以修復佈植破壞並活化植入的雜質。
須注意的是,前述揭露一般描述形成間隙壁及輕摻雜源極/汲極區域的製程。可使用其他製程及順序。舉例而言,可使用較少或額外的間隙壁,可使用不同的步驟順序,可形成及移除額外的間隙壁及/或相似者。再者,可利用不
同的結構及步驟形成n型裝置及p型裝置。
在圖37A至圖37C及圖38A至圖38C中,源極/汲極區域408N係形成在n型區域350N中的半導體鰭片362上。在一些實施例中,例如光阻的罩幕(圖未繪示)係形成在p型區域350P上,以保護p型區域350P免於在n型區域350N上進行形成源極/汲極區域408N的製程步驟。
在圖37A至圖37C中,源極/汲極凹槽404N係形成在n型區域350N中的奈米結構364、奈米結構366及犠牲間隙壁376內。在繪示的實施例中,源極/汲極凹槽404N延伸穿過奈米結構364、奈米結構366及犠牲間隙壁376至半導體鰭片362中。源極/汲極凹槽404N亦可延伸至基材350中。在各種實施例中,源極/汲極凹槽404N可延伸至基材350之頂表面,而不蝕刻基材350;可蝕刻半導體鰭片362,以使源極/汲極凹槽404N之底表面設置在淺溝渠隔離區域372之頂表面下方等。可藉由利用異向性蝕刻製程(例如反應性離子蝕刻、中性粒子束蝕刻等)蝕刻奈米結構364及奈米結構366而形成源極/汲極凹槽404N。在用以形成源極/汲極凹槽404N的蝕刻製程期間,閘極間隙壁398及虛擬閘極394共同地遮蔽半導體鰭片362及/或奈米結構364、奈米結構366之部分。單一蝕刻製程可用以蝕刻奈米結構364、奈米結構366及犠牲間隙壁376之每一者,或多樣蝕刻製程可用以蝕刻奈米結構364、奈米結構366及犠牲間隙壁376。在源極/汲極
凹槽404N達到特定深度之後,定時蝕刻製程可用以停止源極/汲極凹槽404N的蝕刻。
選擇性地,內間隙壁406N係形成在n型區域350N中的奈米結構364之側壁上,例如被源極/汲極凹槽404N所暴露的側壁。如以下更詳細的說明,源極/汲極區域會在後續形成於源極/汲極凹槽404N內,且奈米結構364會接著被相應的閘極結構所取代。內間隙壁406N係做為在後續形成之源極/汲極區域及後續形成之閘極結構之間的隔離特徵。再者,內間隙壁406N可用以實質防止對藉由後續蝕刻製程而在後續形成之源極/汲極區域的破壞,例如用以在後續移除奈米結構364的蝕刻製程。
以形成內間隙壁406N之一具體例而言,源極/汲極凹槽404N可橫向地擴張。特別地,被源極/汲極凹槽404N暴露的奈米結構364之側壁的部分係被凹陷。雖然奈米結構364之側壁係繪示為凹面,側壁可為筆直的或凸面的。側壁可藉由任何合適的蝕刻製程而被凹陷,例如對奈米結構364之材料有選擇性者(例如相對於奈米結構366之材料,以較快的速率選擇性地蝕刻奈米結構364之材料)。蝕刻可為等向性。舉例而言,當奈米結構366係由矽所組成,而奈米結構364係由矽鍺所組成,蝕刻製程可為利用四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、氫氧化銨(NH4OH)等的濕式蝕刻。在另一實施例中,蝕刻製程可為乾式蝕刻,其利用例如氟化氫(HF)氣體的氟基氣體。在一些實施例中,相同蝕刻製
程可連續地進行,以形成源極/汲極凹槽404N及凹陷奈米結構364之側壁。然後,內間隙壁406N係形成在奈米結構364之凹陷側壁上。內間隙壁406N可藉由共形地形成絕緣材料,接著蝕刻絕緣材料而形成。絕緣材料可為氮化矽或氮氧化矽,然而可使用任何合適的材料,例如低k介電材料。絕緣材料可藉由共形沉積製程而沉積,例如原子層沉積、化學氣相沉積等。絕緣材料的蝕刻可為異向性。舉例而言,蝕刻製程可為乾式蝕刻,例如反應性離子蝕刻、中性粒子束蝕刻等。雖然相對於閘極間隙壁398之側壁,內間隙壁406N之外側壁係繪示為被凹陷,內間隙壁406N之外側壁可延伸至閘極間隙壁398之側壁外,或與閘極間隙壁398之側壁齊平。換言之,內間隙壁406N可部分地填充、完全地填充或過度填充側壁凹槽。再者,雖然內間隙壁406N之側壁係繪示為凹面,內間隙壁406N之側壁可為筆直的或凸面的。
在圖38A至圖38C中,磊晶源極/汲極區域408N係形成在源極/汲極凹槽404N(參照圖37A至圖37C)內。磊晶源極/汲極區域408N係形成在源極/汲極凹槽404N內,以使每一個虛擬閘極394(及相應的通道區域368)係設置在各別相鄰對的磊晶源極/汲極區域408N之間。在一些實施例中,閘極間隙壁398及內間隙壁406N係用以分別自虛擬閘極394及奈米結構364分開磊晶源極/汲極區域408N一段適當的橫向距離,則磊晶源極/汲極區域408N不會與所得奈米結構場效電晶體之後續形成的閘極
發生短路。磊晶源極/汲極區域408N的材料係選擇以施加應力在各別的通道區域368中,藉以優化效能。
在一些實施例中,磊晶源極/汲極區域408N係磊晶成長在n型區域350N中的源極/汲極凹槽404N內(參照圖37A至圖37C)。磊晶源極/汲極區域408N可包含任何適合用於n型裝置的合適材料。舉例而言,若奈米結構366為矽,磊晶源極/汲極區域408N可包含在通道區域368上施加拉伸應力的材料,例如矽、碳化矽、磷摻雜碳化矽、砷化矽、磷化矽等。磊晶源極/汲極區域408N可稱為「n型源極/汲極區域」。磊晶源極/汲極區域408N可具有自半導體鰭片362、奈米結構364及奈米結構366之各別表面突起的表面,且可具有刻面。
磊晶源極/汲極區域408N、奈米結構364、奈米結構366及/或半導體鰭片362可以雜質佈植,以形成源極/汲極區域,相似於前述用於形成輕摻雜源極/汲極區域的製程,接著進行退火。磊晶源極/汲極區域408N之雜質濃度可為1019cm-3至約1021cm-3。源極/汲極區域的n型雜質可為前述的任何雜質。在一些實施例中,磊晶源極/汲極區域408N係在成長過程中被原位摻雜。
磊晶源極/汲極區域408N可包含一或多個半導體材料層。舉例而言,每一個磊晶源極/汲極區域408N包含襯層408A、主層408B及覆蓋層408C(或更一般而言,第一半導體材料層、第二半導體材料層及第三半導體材料層)。任何數量的半導體材料層可用做磊晶源極/汲極區域
408N。襯層408A、主層408B及覆蓋層408C之每一者可由不同的半導體材料所組成,且可摻雜成不同的雜質濃度。在一些實施例中,襯層408A可具有比主層408B更小的雜質濃度,而覆蓋層408C可具有比襯層408A更大的雜質濃度,且具有比主層408B更小的雜質濃度。在磊晶源極/汲極區域408N包含三個半導體材層的實施例中,襯層408A可成長在源極/汲極凹槽404N(參照圖37A至圖37C)內,主層408B可成長在襯層408A上,且覆蓋層408C可成長在主層408B上。
由於用以形成磊晶源極/汲極區域408N的磊晶製程,磊晶源極/汲極區域408N之上表面具有刻面,其係橫向地向外擴張至半導體鰭片362、奈米結構364及奈米結構366之側壁外。然而,絕緣鰭片382阻擋橫向的磊晶成長。因此,如圖38C所繪示,磊晶製程完成之後,相鄰的磊晶源極/汲極區域408N維持分離。磊晶源極/汲極區域408N接觸絕緣鰭片382之側壁。在繪示的實施例中,成長磊晶源極/汲極區域408N,則磊晶源極/汲極區域408N之上表面係設置在絕緣鰭片382之頂表面之下。在各種實施例中,磊晶源極/汲極區域408N之上表面係設置在絕緣鰭片382之頂表面之上;磊晶源極/汲極區域408N之上表面具有設置在絕緣鰭片382之頂表面之上及之下的部分等。在形成磊晶源極/汲極區域408N在n型區域350N中之後,形成在p型區域350P上的罩幕層係利用合適的移除製程而被移除。
在圖39A至圖39C及圖40A至圖40C中,源極/汲極區域408P係形成在p型區域350P中的半導體鰭片362上。在一些實施例中,例如光阻的罩幕(圖未繪示)係形成在n型區域350N上,以保護n型區域350N免於在p型區域350P上進行形成源極/汲極區域408N的製程步驟。
在圖39A至圖39C中,源極/汲極凹槽404P係形成在p型區域350P中的奈米結構364、奈米結構366及犠牲間隙壁376內。在繪示的實施例中,源極/汲極凹槽404P延伸穿過奈米結構364、奈米結構366及犠牲間隙壁376至半導體鰭片362中。源極/汲極凹槽404P亦可延伸至基材350中。在各種實施例中,源極/汲極凹槽404P可延伸至基材350之頂表面,而不蝕刻基材350;可蝕刻半導體鰭片362,以使源極/汲極凹槽404P之底表面設置在淺溝渠隔離區域372之頂表面下方等。在一些實施例中,源極/汲極凹槽404P係以與參照圖37A至圖37C所述之源極/汲極凹槽404N相似的方法所形成,且在此不重複說明。
選擇性地,內間隙壁406P係形成在p型區域350P中的奈米結構364之側壁上,例如被源極/汲極凹槽404P所暴露的側壁。如以下更詳細的說明,源極/汲極區域會在後續形成於源極/汲極凹槽404P內,且奈米結構364會接著被相應的閘極結構所取代。內間隙壁406P係做為在後續形成之源極/汲極區域及後續形成之閘極結構
之間的隔離特徵。再者,內間隙壁406P可用以實質防止對藉由後續蝕刻製程而在後續形成之源極/汲極區域的破壞,例如用以在後續移除奈米結構364的蝕刻製程。
在一些實施例中,內間隙壁406P係利用與上述參照圖37A至圖37C之內間隙壁406N相似的材料及方法所形成,且在此不重複說明。雖然相對於閘極間隙壁398之側壁,內間隙壁406P之外側壁係繪示為被凹陷,內間隙壁406P之外側壁可延伸至閘極間隙壁398之側壁外,或與閘極間隙壁398之側壁齊平。換言之,內間隙壁406P可部分地填充、完全地填充或過度填充側壁凹槽。再者,雖然內間隙壁406P之側壁係繪示為凹面,內間隙壁406P之側壁可為筆直的或凸面的。在一些實施例中,內間隙壁406P及內間隙壁406N包含相同的材料。在另一些實施例中,內間隙壁406P及內間隙壁406N包含不同的材料。
在圖40A至圖40C中,磊晶源極/汲極區域408P係形成在源極/汲極凹槽404P(參照圖39A至圖39C)內。磊晶源極/汲極區域408P係形成在源極/汲極凹槽404P內,以使每一個虛擬閘極394(及相應的通道區域368)係設置在各別相鄰對的磊晶源極/汲極區域408P之間。在一些實施例中,閘極間隙壁398及內間隙壁406P係用以分別自虛擬閘極394及奈米結構364分開磊晶源極/汲極區域408P一段適當的橫向距離,則磊晶源極/汲極區域408P不會與所得奈米結構場效電晶體之後續形成的閘極發生短路。磊晶源極/汲極區域408P的材料係選擇以施加
應力在各別的通道區域368中,藉以優化效能。
在一些實施例中,磊晶源極/汲極區域408P係磊晶成長在p型區域350P中的源極/汲極凹槽404P內(參照圖39A至圖39C)。磊晶源極/汲極區域408P可包含任何適合用於p型裝置的合適材料。舉例而言,若奈米結構366為矽,磊晶源極/汲極區域408P可包含在通道區域368上施加壓縮應變的材料,例如矽鍺、硼摻雜矽鍺、磷化矽鍺、鍺、鍺錫等。磊晶源極/汲極區域408P可稱為「p型源極/汲極區域」。磊晶源極/汲極區域408P可具有自半導體鰭片362、奈米結構364及奈米結構366之各別表面突起的表面,且可具有刻面。
磊晶源極/汲極區域408P、奈米結構364、奈米結構366及/或半導體鰭片362可以雜質佈植,以形成源極/汲極區域,相似於前述用於形成輕摻雜源極/汲極區域的製程,接著進行退火。磊晶源極/汲極區域408P之雜質濃度可為1019cm-3至約1021cm-3。源極/汲極區域的p型雜質可為前述的任何雜質。在一些實施例中,磊晶源極/汲極區域408P係在成長過程中被原位摻雜。
磊晶源極/汲極區域408P可包含一或多個半導體材料層。舉例而言,每一個磊晶源極/汲極區域408P包含襯層(例如第一襯層408D及第二襯層408E)、主層408F及覆蓋層408G(或更一般而言,第一半導體材料層、第二半導體材料層、第三半導體材料層及第四半導體材料層)。任何數量的半導體材料層可用做磊晶源極/汲極區域408P。
襯層408D、襯層408E、主層408F及覆蓋層408G之每一者可由不同的半導體材料所組成,且可摻雜成不同的雜質濃度。在一些實施例中,襯層408D及襯層408E可具有比主層408F更小的雜質濃度,而覆蓋層408G可具有比主層408B更大的雜質濃度。在磊晶源極/汲極區域408P包含四個半導體材層的實施例中,第一襯層408D可成長在源極/汲極凹槽404P(參照圖37A至圖37C)內,第二襯層408E可成長在第一襯層408D上,主層408F可成長在第二襯層408E上,且覆蓋層408G可成長在主層408F上。
進一步在圖40A至圖40C中,在一些實施例中,襯層408D、襯層408E及主層408F包含硼摻雜矽鍺(SiGe:B),而覆蓋層408G包含富硼層。富硼層可包含硼(B)、氧(O)、其組合等。在一些實施例中,襯層408D、襯層408E、主層408F及覆蓋層408G係利用例如氣相磊晶、分子束磊晶、選擇性磊晶成長等來磊晶成長。在一些實施例中,襯層408D及襯層408E的鍺含量係小於主層408F的鍺含量。
在襯層408D、襯層408E及主層408F包含硼摻雜矽鍺(SiGe:B)的一些實施例中,襯層408D、襯層408E及主層408F可利用反應劑而磊晶成長,例如含矽前驅物(例如矽烷、二氯矽烷等)、含鍺前驅物(例如鍺烷、二氯鍺烷等)、含硼前驅物(例如硼烷等)、蝕刻劑(例如氫氯酸等)、其組合等,其係在約550℃至約850℃的製程溫度,及約
20torr至約300torr的製程壓力下。
在覆蓋層408G包含富硼層的一些實施例中,覆蓋層408G可利用反應劑而磊晶成長,例如含硼前驅物(甲硼烷、乙硼烷、三氯化硼等)、蝕刻劑(例如氫氯酸等)、前述之組合等,其係在約500℃至約700℃的製程溫度,及約20torr至約60torr的製程壓力下。
由於用以形成磊晶源極/汲極區域408P的磊晶製程,磊晶源極/汲極區域408P之上表面具有刻面,其係橫向地向外擴張至半導體鰭片362、奈米結構364及奈米結構366之側壁外。然而,絕緣鰭片382阻擋橫向的磊晶成長。因此,如圖40C所繪示,磊晶製程完成之後,相鄰的磊晶源極/汲極區域408P維持分離。磊晶源極/汲極區域408P接觸絕緣鰭片382之側壁。在繪示的實施例中,成長磊晶源極/汲極區域408P,則磊晶源極/汲極區域408P之上表面係設置在絕緣鰭片382之頂表面之下。在各種實施例中,磊晶源極/汲極區域408P之上表面係設置在絕緣鰭片382之頂表面之上;磊晶源極/汲極區域408P之上表面具有設置在絕緣鰭片382之頂表面之上及之下的部分等。在形成磊晶源極/汲極區域408P在p型區域350P中之後,形成在n型區域350N上的罩幕層係利用合適的移除製程而被移除。
圖40D係繪示根據一些實施例之圖40C所示之區域409的放大視圖。在一些實施例中,在磊晶源極/汲極區域408P及各別的絕緣鰭片382之間的界面(在製程變化
中)係實質垂直於基材350之頂表面,如圖40C所繪示。在另一些實施例中,在磊晶源極/汲極區域408P及各別的絕緣鰭片382之間的界面係傾斜的,如圖40D所繪示。在一些實施例中,覆蓋層408G具有高至3nm之厚度。磊晶源極/汲極區域408P之第十三高度H13係自最頂部的奈米結構366之最頂表面測量。在一些實施例中,第十三高度H13係高至10nm。磊晶源極/汲極區域408P之第九寬度W9係自磊晶源極/汲極區域408P之最頂表面的約5nm之深度下測量。在一些實施例中,第九寬度W9為約5nm至約25nm。磊晶源極/汲極區域408P之第十寬度W10係在磊晶源極/汲極區域408P之最頂表面之下測量。在一些實施例中,第十寬度W10為約20nm至約40nm。
在圖41A至圖41C中,第一層間介電質414係沉積在磊晶源極/汲極區域408N、磊晶源極/汲極區域408P、閘極間隙壁398、罩幕396(若存在的話)或虛擬閘極394上。第一層間介電質414係由介電材料所組成,其可藉由合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積、流動式化學氣相沉積等。合適的介電材料可包含磷矽玻璃、硼矽玻璃、硼摻雜磷矽玻璃、未摻雜矽玻璃等。可使用藉由任何合適的製程所形成的其他絕緣材料。
在一些實施例中,接觸蝕刻中止層412係形成在第一層間介電質414與磊晶源極/汲極區域408N、磊晶源
極/汲極區域408P、閘極間隙壁398、罩幕396(若存在的話)或虛擬閘極394之間。接觸蝕刻中止層412係由介電材料所組成,例如氮化矽、氧化矽、氮氧化矽等,其相對於第一層間介電質414的蝕刻,具有更高的蝕刻選擇性。接觸蝕刻中止層412可藉由任何合適的方法沉積,例如化學氣相沉積、原子層沉積等。
在圖42A至圖42C中,進行移除製程,以使第一層間介電質414之頂表面與罩幕396(若存在的話)或虛擬閘極394之頂表面等高。在一些實施例中,可使用平坦化製程,例如化學機械研磨、回蝕製程、前述之組合等。平坦化製程亦可移除在虛擬閘極394上的罩幕396及沿著罩幕396之側壁的閘極間隙壁398之部分。在平坦化製程之後,閘極間隙壁398、第一層間介電質414、接觸蝕刻中止層412及罩幕396(若存在的話)或虛擬閘極394(在製程變化中)之頂表面係共平面。因此,罩幕396(若存在的話)或虛擬閘極394之頂表面係透過第一層間介電質414而暴露。在繪示的實施例中,保留罩幕396,且平坦化製程使第一層間介電質414之頂表面與罩幕396之頂表面等高。
在圖43A至圖43C中,罩幕396(若存在的話)及虛擬閘極394係在蝕刻製程中被移除,故凹槽416N及凹槽416P係分別形成在n型區域350N及p型區域350P中。在一些實施例中,虛擬閘極394係藉由異向性乾式蝕刻製程移除。舉例而言,蝕刻製程可包含利用反應性氣體
的乾式蝕刻製程,其係選擇性地以比第一層間介電質414或閘極間隙壁398更快的速率蝕刻虛擬閘極394。凹槽416N及凹槽416P之每一者暴露及/或覆蓋各別通道區域368之部分。做為通道區域368的奈米結構366之部分係分別設置在n型區域350N及p型區域350P的磊晶源極/汲極區域408N及磊晶源極/汲極區域408P的相鄰對之間。
然後,移除奈米結構364的剩餘部分,以擴張凹槽416N及凹槽416P,以使開口418N及開口418P係分別形成在n型區域350N及p型區域350P中的奈米結構366之間的區域內。亦移除犠牲間隙壁376的剩餘部分,以擴張凹槽416N及凹槽416P,以使開口420係形成在n型區域350N及p型區域350P二者中的半導體鰭片362及絕緣鰭片382之間的區域內。奈米結構364及犠牲間隙壁376之剩餘部分可藉由任何合適的蝕刻製程而移除,其係選擇性地以比奈米結構366之材料更快的速率蝕刻奈米結構364及犠牲間隙壁376的材料。蝕刻可為等向性。舉例而言,當奈米結構364及犠牲間隙壁376係由矽鍺所組成而奈米結構366係由矽所組成時,蝕刻製程可為利用四甲基氫氧化銨、氫氧化銨等的濕式蝕刻。在一些實施例中,進行修整製程(未分別繪示),以減少奈米結構366之暴露部分的厚度。
在圖44A至圖44C中,閘極介電層424N及閘極介電層424P係分別形成在開口418N及開口418P內、
在開口420內及在凹槽416N及凹槽416P內(參照圖43A至圖43C)。閘極電極層426N及閘極電極層426P係分別形成在閘極介電層424N及閘極介電層424P上。閘極介電層424N及閘極電極層426N係做為n型區域350N中之取代閘極的層,且其每一者環繞n型區域350N中奈米結構366的全部(例如四)側部。閘極介電層424P及閘極電極層426P係做為p型區域350P中之取代閘極的層,且其每一者環繞p型區域350P中奈米結構366的全部(例如四)側部。
閘極介電層424N係設置在半導體鰭片362之側壁及/或頂表面上;在奈米結構366之頂表面、側壁及底表面上;在內間隙壁406N與磊晶源極/汲極區域408N及閘極間隙壁398之側壁相鄰的側壁上;以及在絕緣鰭片382之頂表面及側壁上。閘極介電層424N亦可形成在n型區域350N中的第一層間介電質414及閘極間隙壁398之頂表面上。閘極介電層424P係設置在半導體鰭片362之側壁及/或頂表面上;在奈米結構366之頂表面、側壁及底表面上;在內間隙壁406P與磊晶源極/汲極區域408P及閘極間隙壁398之側壁相鄰的側壁上;以及在絕緣鰭片382之頂表面及側壁上。閘極介電層424P亦可形成在p型區域350P中的第一層間介電質414及閘極間隙壁398之頂表面上。
閘極介電層424N及閘極介電層424P可包含例如氧化矽或金屬氧化物的氧化物、例如金屬矽化物的矽化
物、前述之組合、前述之多層等。閘極介電層424N及閘極介電層424P可包含高k介電材料(例如k值大於約7.0的介電材料),例如金屬氧化物或鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛的矽化物及前述之組合。閘極介電層424N及閘極介電層424P的製造方法可包含分子束沉積(MBD)、原子層沉積、電漿輔助化學氣相沉積、前述之組合等。雖然單層的閘極介電層424N及單層的閘極介電層424P係繪示於圖44A至圖44C中,閘極介電層424N及閘極介電層424P之每一者可包含任何數量的界面層及任何數量的主層。
雖然單層的閘極電極層426N係繪示於圖44A至圖44C中,閘極電極層426N可包含任何數量的襯層、任何數量的功函數調整層及導電填充材料。襯層可包含氮化鈦(TiN)、氧化鈦(TiO)、氮化鉭(TaN)、碳化鉭(TaC)、前述之組合、前述之多層等,且可利用物理氣相沉積、化學氣相沉積、原子層沉積、前述之組合等來形成。功函數調整層可包含鈦、銀、鋁、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、碳化鈦鋁(TiAlC)、碳化鉭(TaC)、碳氮化鉭(TaCN)、氮化鉭矽(TaSiN)、碳化鉭鋁(TaAlC)、錳(Mn)、鋯(Zr)、前述之組合、前述之多層等,且可利用物理氣相沉積、化學氣相沉積、原子層沉積、前述之組合等來形成。導電填充材料可包含鈷(Co)、釕(Ru)、鋁(Al)、銀(Ag)、金(Au)、鎢(W)、鎳(Ni)、鈦(Ti)、銅(Cu)、錳(Mn)、鈀(Pd)、錸(Re)、銥(Ir)、鉑(Pt)、鋯(Zr)、前述之合金、前述之
組合、前述之多層等,且可利用物理氣相沉積、化學氣相沉積、原子層沉積、電鍍、前述之組合等來形成。
雖然單層的閘極電極層426P係繪示於圖44A至圖44C中,閘極電極層426P可包含任何數量的襯層、任何數量的功函數調整層及導電填充材料。襯層可包含氮化鈦(TiN)、氧化鈦(TiO)、氮化鉭(TaN)、碳化鉭(TaC)、前述之組合、前述之多層等,且可利用物理氣相沉積、化學氣相沉積、原子層沉積、前述之組合等來形成。功函數調整層可包含氮化鈦(TiN)、氮化鎢(WN)、氮化鉭(TaN)、釕(Ru)、鈷(Co)、前述之組合、前述之多層等,且可利用物理氣相沉積、化學氣相沉積、原子層沉積、前述之組合等來形成。導電填充材料可包含鈷(Co)、釕(Ru)、鋁(Al)、銀(Ag)、金(Au)、鎢(W)、鎳(Ni)、鈦(Ti)、銅(Cu)、錳(Mn)、鈀(Pd)、錸(Re)、銥(Ir)、鉑(Pt)、鋯(Zr)、前述之合金、前述之組合、前述之多層等,且可利用物理氣相沉積、化學氣相沉積、原子層沉積、電鍍、前述之組合等來形成。
在一些實施例中,在n型區域350N中的閘極介電層424N及在p型區域350P中的閘極介電層424P的形成可同時發生,以使閘極介電層424N及閘極介電層424P係由相同材料所組成。在另一些實施例中,在n型區域350N中的閘極介電層424N及在p型區域350P中的閘極介電層424P可藉由不同的製程形成,以使閘極介電層424N及閘極介電層424P可包含不同的材料及/或具
有不同的層數。在一些實施例中,在n型區域350N中的閘極電極層426N及在p型區域350P中的閘極電極層426P的形成可同時發生,以使閘極電極層426N及閘極電極層426P係由相同材料所組成。在另一些實施例中,在n型區域350N中的閘極電極層426N及在p型區域350P中的閘極電極層426P可藉由不同的製程形成,以使閘極電極層426N及閘極電極層426P可包含不同的材料及/或具有不同的層數。
在圖45A至圖45C中,進行移除製程,以移除閘極介電層424N、閘極介電層424P、閘極電極層426N及閘極電極層426P之材料的多餘部分,其多餘部分係在第一層間介電質414及閘極間隙壁398之頂表面上,藉以分別形成閘極結構430N及閘極結構430P在n型區域350N及p型區域350P中。在一些實施例中,可使用平坦化製程,例如化學機械研磨、回蝕製程、前述之組合等。當被平坦化時,閘極介電層424N及閘極介電層424P有部分留在凹槽416N及凹槽416P(參照圖43A至圖43C)內,因此分別形成閘極結構430N及閘極結構430P的閘極介電質。當被平坦化時,閘極電極層426N及閘極電極層426P有部分留在凹槽416N及凹槽416P(參照圖43A至圖43C)內,因此分別形成閘極結構430N及閘極結構430P的閘極電極。閘極間隙壁398、接觸蝕刻中止層412、第一層間介電質414、閘極結構430N及閘極結構430P之頂表面(在製程變化中)係共平面。閘極結構430N及閘
極結構430P係製得之奈米結構場效電晶體的取代閘極,且可被稱為「金屬閘極」。閘極結構430N及閘極結構430P之每一者係分別沿著n型區域350N及p型區域350P中的奈米結構366之通道區域368的頂表面、側壁及底表面延伸。閘極結構430N及閘極結構430P填充先前被奈米結構364、犠牲間隙壁376及虛擬閘極394所佔據的區域。
在一些實施例中,隔離區域432係形成為延伸穿過一些閘極結構430N及閘極結構430P。隔離區域432係形成以分開(或「剪開」)閘極結構430N及/或閘極結構430P成多重閘極結構。隔離區域432係由介電材料所組成,例如氮化矽、氧化矽、氮氧化矽等,其可藉由例如化學氣相沉積、原子層沉積等沉積製程形成。以形成隔離區域432的一具體例而言,開口可被圖案化在所要的閘極結構430N及閘極結構430P內。可進行任何合適的蝕刻製程(例如乾式蝕刻、濕式蝕刻等或前述之組合),以圖案化開口。蝕刻可為異向性。一或多層介電材料可沉積在開口內。可進行移除製程,以移除介電材料之多餘部分,其多餘部分係在閘極結構430N及閘極結構430P之頂表面上,藉以形成隔離區域432。
在圖46A至圖46C中,第二層間介電質436係沉積在閘極間隙壁398、接觸蝕刻中止層412、第一層間介電質414、閘極結構430N及閘極結構430P上。在一些實施例中,第二層間介電質436係藉由流動式化學氣相
沉積法形成的流動式薄膜。在一些實施例中,第二層間介電質436係由介電材料(例如磷矽玻璃、硼矽玻璃、硼摻雜磷矽玻璃、未摻雜矽玻璃等)所組成,其可藉由合適的方法沉積,例如化學氣相沉積、電漿輔助化學氣相沉積等。在一些實施例中,第一層間介電質414及第二層間介電質436包含相同的材料。在另一些實施例中,第一層間介電質414及第二層間介電質436包含不同的材料。
在一些實施例中,蝕刻停止層(etch stop layer,ESL)434係形成在第二層間介電質436與閘極間隙壁398、接觸蝕刻中止層412、第一層間介電質414、閘極結構430N及閘極結構430P之間。蝕刻停止層434可包含介電材料,例如氮化矽、氧化矽、氮氧化矽等,相對於第二層間介電質436的蝕刻,蝕刻停止層434具有高蝕刻選擇性。
在圖47A至圖47C中,閘極接點442N及源極/汲極接點444N係形成在n型區域350N中,以分別接觸閘極結構430N及磊晶源極/汲極區域408N,而閘極接點442P及源極/汲極接點444P係形成在p型區域350P中,以分別接觸閘極結構430P及磊晶源極/汲極區域408P。閘極接點442N及閘極接點442P係分別實體連接及電性耦合閘極結構430N及閘極結構430P。源極/汲極接點444N及源極/汲極接點444P係分別實體連接及電性耦合磊晶源極/汲極區域408N及磊晶源極/汲極區域408P。
以形成閘極接點442N、閘極接點442P、源極/
汲極接點444N及源極/汲極接點444P的一具體例而言,閘極接點442N及閘極接點442P的開口係形成為穿過第二層間介電質436及蝕刻停止層434,而源極/汲極接點444N及源極/汲極接點444P的開口係形成為穿過第二層間介電質436、蝕刻停止層434、第一層間介電質414及接觸蝕刻中止層412。在一些實施例中,源極/汲極接點444P的開口亦形成為穿過磊晶源極/汲極區域408P的覆蓋層408G。開口可利用合適的光微影及蝕刻技術來形成。在形成開口之後,襯墊(未分別繪示)(例如擴散阻障層、黏著層等)及導電材料係形成在開口內。襯墊可包含鈦、氮化鈦、鉭、氮化鉭等。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳等。可進行平坦化製程(例如化學機械研磨),以自第二層間介電質436之表面移除多餘的材料。剩餘的襯墊及導電材料形成閘極接點442N、閘極接點442P、源極/汲極接點444N及源極/汲極接點444P在各別的開口內。閘極接點442N及源極/汲極接點444N可在不同的製程中形成,或可在相同製程中形成。閘極接點442P及源極/汲極接點444P可在不同的製程中形成,或可在相同製程中形成。雖然所示為形成在相同剖面中,應理解的是,閘極接點442N及源極/汲極接點444N之每一者可形成在不同剖面中,其可避免接點的短路。雖然所示為形成在相同剖面中,應理解的是,閘極接點442P及源極/汲極接點444P之每一者可形成在不同剖面中,其可避免接點的短路。
選擇性地,金屬-半導體合金區域446N及金屬-半導體合金區域446P係分別形成在磊晶源極/汲極區域408N及磊晶源極/汲極區域408P與源極/汲極接點444N及源極/汲極接點444P之間的界面。金屬-半導體合金區域446N及金屬-半導體合金區域446P可為由金屬矽化物(例如矽化鈦、矽化鈷、矽化鎳等)所組成的矽化物區域、由金屬鍺化物(例如鍺化鈦、鍺化鈷、鍺化鎳等)所組成的鍺化物區域、由金屬矽化物及金屬鍺化物所組成的矽鍺區域等。在源極/汲極接點444N及源極/汲極接點444P形成之前,金屬-半導體合金區域446N及金屬-半導體合金區域446P可藉由分別沉積金屬在源極/汲極接點444N及源極/汲極接點444P的開口內,然後進行熱退火製程而形成。金屬可為可以與在磊晶源極/汲極區域408N及磊晶源極/汲極區域408P的半導體材料(例如矽、矽鍺、鍺等)反應的金屬,以形成低電阻金屬-半導體合金,例如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬(noble metals)、其他耐火金屬(refractory metals)、稀土金屬(rare earth metals)或其合金。金屬可藉由例如原子層沉積、化學氣相沉積、物理氣相沉積等的沉積製程來沉積。在熱退火製程之後,可進行清洗製程(例如濕式清洗),以分別自源極/汲極點444N及源極/汲極接點444P的開口移除任何剩餘的金屬,例如自金屬-半導體合金區域446N及金屬-半導體合金區域446P之表面。然後,源極/汲極接點444N及源極/汲極接點444P的材料可分別形成在金屬-半導體合
金區域446N及金屬-半導體合金區域446P上。
圖47D係繪示根據一些實施例之圖47C所示之區域447的放大視圖。在一些實施例中,藉由實行以富硼層為磊晶源極/汲極區域408P的覆蓋層,可保護磊晶源極/汲極區域408P之含鍺部分免於用以形成源極/汲極接點444P之開口的蝕刻製程,以減少或避免磊晶源極/汲極區域408P之含鍺部分的耗損。因此,源極/汲極接點444P落在磊晶源極/汲極區域408P之含鍺部分上,其使得源極/汲極接點的接觸電阻減少。在一些實施例中,由於磊晶源極/汲極區域408P之含鍺部分的耗損,磊晶源極/汲極區域408P(如圖47D所繪示)之頂表面在原始磊晶源極/汲極區域408P(如圖40D所繪示)之頂表面之下延伸深度D1。在一些實施例中,深度D1係高至20nm。磊晶源極/汲極區域408P之第十四高度H14係量測自最頂部的奈米結構366之最頂表面至金屬-半導體合金區域446P之底表面。在一些實施例中,第十四高度H14係高至15nm。磊晶源極/汲極區域408P之第十五高度H15係量測自鰭片362之最頂表面至金屬-半導體合金區域446P之底表面。在一些實施例中,第十五高度H15係約10nm至約15nm。
本揭露的實施例具有一些優勢特徵。實施例包含在磊晶源極/汲極區域之頂表及側壁上的富硼覆蓋層。富硼覆蓋層做為犠牲層,並延遲在氟基蝕刻製程期間的磊晶源極/汲極區域之耗損,其中氟基蝕刻製程係用以形成源極/汲極
接點開口在源極/汲極區域上的層間介電質內。本文揭露一或多個實施例可包含做為摻質施體的富硼覆蓋層,以輕摻雜通道區域,其導致較低的通道電阻及優化的電性效能。除此之外,富硼覆蓋層的使用造成氟基蝕刻製程期間的磊晶源極/汲極區域之耗損減少,其使得源極/汲極區域保持有較大體積的高比率鍺磊晶材料,也使源極/汲極接點落在源極/汲極區域的高鍺含量區域上。這可造成源極/汲極區域及後續形成之源極/汲極接點之間較低的電阻,其中源極/汲極接點係實體接觸此高比率鍺磊晶材料。再者,由於富硼覆蓋層的使用,在氟基蝕刻製程過程中的磊晶源極/汲極區域耗損減少使得源極/汲極區域具有較高的上升高度。
根據一實施例,一種方法包含形成第一半導體鰭片在基材上;形成汲極/源極區域在第一半導體鰭片內;沉積覆蓋層在源極/汲極區域上,其中覆蓋層包含之第一硼濃度大於源極/汲極區域的第二硼濃度;蝕刻開口穿過覆蓋層,其中開口暴露源極/汲極區域;形成矽化物層在被暴露的源極/汲極區域上;以及形成源極/汲極接點在矽化物層上。在一實施例中,蝕刻開口穿過覆蓋層包含乾式蝕刻製程,其包含使用含氟蝕刻劑。在一實施例中,第一硼濃度為3×1021/cm3至1×1022/cm3,且第二硼濃度為1×1020/cm3至2×1021/cm3。在一實施例中,方法更包含形成第二半導體鰭片在基材上,第二半導體鰭片係相鄰於第一半導體鰭片;形成第二源極/汲極區域在第二半導體鰭片內,其中源極/汲極區域與第二源極/汲極區域合併;以及沉積
覆蓋層在第二源極/汲極區域上。在一實施例中,方法更包含沉積介電層在覆蓋層上,其中在沉積介電層過程中,覆蓋層係被氧化。在一實施例中,沉積覆蓋層包含在500℃至700℃之製程溫度及20torr至60torr之製程壓力下沉積覆蓋層。
根據一實施例,一種方法包含沉積覆蓋層在源極/汲極區域上,其中在源極/汲極區域之第一側壁上的覆蓋層之第一厚度係大於在源極/汲極區域之第二側壁上的覆蓋層之第二厚度,且第一側壁係在第二側壁之上;沉積接觸蝕刻中止層在源極/汲極區域上;形成層間介電質在接觸蝕刻中止層上;形成接觸開口穿過層間介電質、接觸蝕刻中止層及覆蓋層,其中接觸開口暴露源極/汲極區域;以及形成源極/汲極接點在接觸開口內。在一實施例中,方法更包含形成金屬層在暴露的源極/汲極區域上;以及退火金屬層,以形成矽化物層。在一實施例中,源極/汲極區域之第一側壁係在覆蓋層之最外點之上,且源極/汲極區域之第二側壁係在覆蓋層之最外點之下。在一實施例中,覆蓋層之第一厚度為0.5nm至2nm,且覆蓋層之第二厚度高至2nm。在一實施例中,覆蓋層的沉積包含利用甲硼烷、乙硼烷或三氯化硼做為製程反應劑。在一實施例中,覆蓋層之第一硼濃度為3×1021/cm3至1×1022/cm3,且源極/汲極區域之第二硼濃度為1×1020/cm3至2×1021/cm3。在一實施例中,在形成源極/汲極接點之後,源極/汲極區域之第一高度大於40nm,第一高度係自源極/汲極區域
之底表面的第一點至源極/汲極區域之頂表面的第二點,且第二點在第一點的正上方。在一實施例中,形成接觸開口穿過層間介電質、接觸蝕刻中止層及覆蓋層之步驟包含氟基電漿蝕刻製程。
根據一實施例,一種裝置包含在基材之通道區域上的閘極結構;鄰接通道區域的源極/汲極區域;在源極/汲極區域之第一部分上的覆蓋層,其中覆蓋層之第一硼濃度高於源極/汲極區域之第二硼濃度;在源極/汲極區域之第二部分上的矽化物;以及透過矽化物電性連接至源極/汲極區域的源極/汲極接點。在一實施例中,覆蓋層之第一部分的第一厚度大於覆蓋層之第二部分的第二厚度,且覆蓋層之第一部分高於覆蓋層之第二部分。在一實施例中,覆蓋層之第一部分高於源極/汲極區域最寬的部分。在一實施例中,矽化物進一步設置在覆蓋層之頂表面上。在一實施例中,裝置更包含在覆蓋層上的層間介電質,其中層間介電質包含氧化矽,且覆蓋層包含氧化硼。在一實施例中,源極/汲極區域之第一側壁的第一高度大於10nm,且第一高度係在覆蓋層之最低點及源極/汲極區域之最底表面之間。
根據一實施例,一種裝置包含奈米片;包圍奈米片的閘極結構;與奈米片之側壁相鄰的源極/汲極區域,其中源極/汲極區域包含第一襯層,第一襯層包含具有第一鍺濃度的第一矽鍺材料;在第一襯層上的第一主層,主層包含具有第二鍺濃度的第二矽鍺材料,其中第二鍺濃度不同於
第一鍺濃度;及在第一襯層及主層上的覆蓋層,覆蓋層包含富硼材料;以及源極/汲極接點落在源極/汲極區域的主層上。在一實施例中,第二鍺濃度係大於第一鍺濃度。在一實施例中,富硼材料包含硼或氧。在一實施例中,第一襯層及主層更包含硼。在一實施例中,源極/汲極區域具有傾斜的側壁。在一實施例中,裝置更包含在源極/汲極接點與源極/汲極區域的主層之間的金屬-半導體合金區域。在一實施例中,裝置更包含與源極/汲極區域的側壁實體接觸的絕緣鰭片。
根據一實施例,一種裝置包含複數個奈米片;包圍複數個奈米片之每一者的閘極結構;與複數個奈米片相鄰的磊晶源極/汲極區域,磊晶源極/汲極區域包含具有第一鍺濃度的第一矽鍺層;在第一矽鍺層上的第二矽鍺層,第二矽鍺層具有大於第一鍺濃度的第二鍺濃度;及在第一矽鍺層及第二矽鍺層上的富硼覆蓋層;在磊晶源極/汲極區域上並與磊晶源極/汲極區域電性連接的源極/汲極接點;以及在源極/汲極接點及磊晶源極/汲極區域之間的金屬-半導體合金區域,其中金屬-半導體合金區域及磊晶源極/汲極區域之第二矽鍺層之間的界面係在複數個奈米片之最頂部的奈米片的最頂表面之上。在一實施例中,金屬-半導體合金區域延伸穿過富硼覆蓋層。在一實施例中,裝置更包含在第一矽鍺層及第二矽鍺層之間的第三矽鍺層,其中第三矽鍺層具有小於第二鍺濃度的第三鍺濃度。在一實施例中,裝置更包含與磊晶源極/汲極區域之側壁實體接觸的絕
緣鰭片。在一實施例中,在絕緣鰭片與磊晶源極/汲極區域之間的界面係傾斜的。在一實施例中,絕緣鰭片之最底表面係在磊晶源極/汲極區域之最底表面之上。在一實施例中,絕緣鰭片之最頂表面係在磊晶源極/汲極區域之最頂表面之上。
根據一實施例,一種方法包含形成包含複數個第一奈米片及複數個第二奈米片的堆疊在基材上,複數個第一奈米片及複數個第二奈米片係以交替的方式配置在堆疊中;形成虛擬閘極結構在堆疊上;圖案化堆疊,以形成延伸穿過複數個第一奈米片及複數個第二奈米片的凹槽;以及形成源極/汲極區域在凹槽內,其中形成源極/汲極區域包含磊晶成長第一矽鍺層在凹槽內,第一矽鍺層具有第一鍺濃度;磊晶成長第二矽鍺層在第一矽鍺層上,第二矽鍺層具有大於第一鍺濃度的第二鍺濃度;及磊晶成長富硼覆蓋層在第一矽鍺層及第二矽鍺層上。在一實施例中,方法更包含形成金屬-半導體合金區域在源極/汲極區域上,其中金屬-半導體合金區域與源極/汲極區域的第二矽鍺層之間的界面係在堆疊之最頂表面之上。在一實施例中,方法更包含形成源極/汲極接點在金屬-半導體合金區域上,並實體接觸金屬-半導體合金區域。在一實施例中,方法更包含在基材上並沿著堆疊之側壁延伸的絕緣鰭片。在一實施例中,凹槽暴露絕緣鰭片之側壁,並在絕緣鰭片之最底表面之下延伸。在一實施例中,源極/汲極區域係實體接觸絕緣鰭片之側壁。
以上概述許多實施例的特徵,因此本領域具有通常知識者可更了解本揭露的態樣。本技術領域具有通常知識者應理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優點。本技術領域具有通常知識者也應了解與此均等的架構並沒有偏離本揭露的精神和範圍,且在不偏離本揭露的精神和範圍下可做出各種變化、替代和改動。
50:基材
50P:p型區域
52:鰭片
58:通道區域
75:覆蓋層
76:矽化物
83:源極/汲極區域
87:接觸蝕刻中止層
88:層間介電質
108:層間介電質
110:閘極接點
112:源極/汲極接點
Claims (10)
- 一種半導體裝置的製造方法,包含:形成一第一半導體鰭片在一基材上;形成一汲極/源極區域在該第一半導體鰭片內;沉積一覆蓋層在該源極/汲極區域上,其中該覆蓋層包含一第一硼濃度,且該第一硼濃度大於該源極/汲極區域的一第二硼濃度;蝕刻一開口穿過該覆蓋層,其中該開口暴露該源極/汲極區域;形成一矽化物層在被暴露的該源極/汲極區域上;以及形成一源極/汲極接點在該矽化物層上。
- 如請求項1所述之半導體裝置的製造方法,更包含:形成一第二半導體鰭片在該基材上,其中該第二半導體鰭片相鄰於該第一半導體鰭片;形成一第二源極/汲極區域在該第二半導體鰭片內,其中該源極/汲極區域與該第二源極/汲極區域合併;以及沉積該覆蓋層在該第二源極/汲極區域上。
- 如請求項1所述之半導體裝置的製造方法,更包含:沉積一介電層在該覆蓋層上,其中在沉積該介電層過程中,該覆蓋層被氧化。
- 一種半導體裝置的製造方法,包含:沉積一覆蓋層在一源極/汲極區域上,其中在該源極/汲極區域之一第一側壁上的該覆蓋層之一第一厚度大於在該源極/汲極區域之一第二側壁上的該覆蓋層之一第二厚度,且該第一側壁在該第二側壁之上;沉積一接觸蝕刻中止層在該源極/汲極區域上;形成一層間介電質在該接觸蝕刻中止層上;形成一接觸開口穿過該層間介電質、該接觸蝕刻中止層及該覆蓋層,其中該接觸開口暴露該源極/汲極區域;以及形成一源極/汲極接點在該接觸開口內。
- 如請求項4所述之半導體裝置的製造方法,更包含:形成一金屬層在暴露的該源極/汲極區域上;以及退火該金屬層,以形成矽化物層。
- 如請求項4所述之半導體裝置的製造方法,其中該源極/汲極區域之該第一側壁係在該覆蓋層之最外點之上,且該源極/汲極區域之該第二側壁係在該覆蓋層之該些最外點之下。
- 如請求項4所述之半導體裝置的製造方法,其中該形成該接觸開口穿過該層間介電質、該接觸蝕刻中 止層及該覆蓋層之步驟包含氟基電漿蝕刻製程。
- 一種半導體裝置,包含:一閘極結構,在一基材之一通道區域上;一源極/汲極區域,鄰接該通道區域;一覆蓋層,在該源極/汲極區域之一側面上,其中該覆蓋層之一第一硼濃度高於該源極/汲極區域之一第二硼濃度;一矽化物,在該源極/汲極區域之一頂面上;以及一源極/汲極接點,透過該矽化物電性連接至該源極/汲極區域。
- 如請求項8所述之半導體裝置,其中該覆蓋層之一第一部分的一第一厚度大於該覆蓋層之一第二部分的一第二厚度,且該覆蓋層之該第一部分高於該覆蓋層之該第二部分。
- 如請求項8所述之半導體裝置,更包含:一層間介電質,在該覆蓋層上,其中該層間介電質包含氧化矽,且該覆蓋層包含氧化硼。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163178091P | 2021-04-22 | 2021-04-22 | |
US63/178,091 | 2021-04-22 | ||
US17/582,563 | 2022-01-24 | ||
US17/582,563 US20220344508A1 (en) | 2021-04-22 | 2022-01-24 | Semiconductor device and method |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202242993A TW202242993A (zh) | 2022-11-01 |
TWI840770B true TWI840770B (zh) | 2024-05-01 |
Family
ID=
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105280707A (zh) | 2014-07-10 | 2016-01-27 | 台湾积体电路制造股份有限公司 | 半导体结构及其制造方法 |
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105280707A (zh) | 2014-07-10 | 2016-01-27 | 台湾积体电路制造股份有限公司 | 半导体结构及其制造方法 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11133416B2 (en) | Methods of forming semiconductor devices having plural epitaxial layers | |
US12002854B2 (en) | Semiconductor device and method of manufacture | |
TWI813775B (zh) | 半導體裝置及其製造方法 | |
US11164944B2 (en) | Method of manufacturing a semiconductor device | |
CN111128741B (zh) | 半导体器件及其制造方法 | |
US20230387275A1 (en) | Method of Gap Filling for Semiconductor Device | |
CN114078846A (zh) | 半导体器件的接触插塞结构及其形成方法 | |
TWI840770B (zh) | 半導體裝置及其製造方法 | |
CN113113408A (zh) | 半导体装置 | |
CN217239471U (zh) | 半导体装置 | |
US20220344508A1 (en) | Semiconductor device and method | |
TWI760054B (zh) | 電晶體及其形成方法 | |
US12021116B2 (en) | Semiconductor gates and methods of forming the same | |
US20230223302A1 (en) | Contact features of semiconductor device and method of forming same | |
US20240021693A1 (en) | Gate structure of semiconductor device and method of forming same | |
US20230253479A1 (en) | Air Spacer and Method of Forming Same | |
US20220392998A1 (en) | Semiconductor gates and methods of forming the same | |
US20230043635A1 (en) | Semiconductor device and method | |
US20230065620A1 (en) | Semiconductor device and method | |
US20220238687A1 (en) | Transistor Gate Structures and Methods of Forming the Same | |
US20230008315A1 (en) | Conductive Features of Semiconductor Devices and Methods of Forming the Same | |
KR20230127180A (ko) | 핀펫 디바이스 및 이의 형성 방법 | |
TW202322399A (zh) | 半導體裝置及其製造方法 | |
CN114551578A (zh) | 半导体装置和其形成方法 | |
TW202135311A (zh) | 半導體裝置、半導體結構及其形成方法 |