TWI806113B - 製造半導體裝置的方法和半導體裝置 - Google Patents

製造半導體裝置的方法和半導體裝置 Download PDF

Info

Publication number
TWI806113B
TWI806113B TW110128084A TW110128084A TWI806113B TW I806113 B TWI806113 B TW I806113B TW 110128084 A TW110128084 A TW 110128084A TW 110128084 A TW110128084 A TW 110128084A TW I806113 B TWI806113 B TW I806113B
Authority
TW
Taiwan
Prior art keywords
gate
etch
layer
contact
dielectric cap
Prior art date
Application number
TW110128084A
Other languages
English (en)
Other versions
TW202213539A (zh
Inventor
熊德智
鵬 王
林煥哲
吳俊德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202213539A publication Critical patent/TW202213539A/zh
Application granted granted Critical
Publication of TWI806113B publication Critical patent/TWI806113B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

一種方法包含:在半導體基板上方形成閘極結構;回蝕刻閘極結構;在經回蝕刻的閘極結構上方形成閘極介電帽;在閘極介電帽上方沉積抗蝕刻層、在抗蝕刻層上方沉積接觸件蝕刻停止層、和在接觸件蝕刻停止層上方沉積層間介電質(ILD)層;執行第一蝕刻製程以形成閘極接觸件開口其延伸穿過層間介電質層並且在抵達抗蝕刻層之前終止;執行第二蝕刻製程,以加深閘極接觸件開口,其中第二蝕刻製程以比起蝕刻接觸件蝕刻停止層較慢的蝕刻速率來蝕刻抗蝕刻層;以及在加深的閘極接觸件開口中形成閘極接觸件。

Description

製造半導體裝置的方法和半導體裝置
本揭示內容大致上關於積體電路結構和形成此積體電路結構的方法,並且更具體而言,涉及製造電晶體(例如,鰭式場效電晶體(FinFETS)、閘極全環(GAA)電晶體)、以及在電晶體的閘極結構上方的閘極接觸件。
積體電路材料和設計方面的技術進展產生了一代又一代的積體電路,每一代的電路比前一代的電路更小、更複雜。在積體電路發展的過程中,大致上增加了功能密度(亦即,每晶片面積的互連的裝置的數目),而減小了幾何尺寸(亦即,使用製造製程可以產生的最小的組件(或線))。這種按比例縮小的過程通常經由提高生產效率和降低相關的成本來提供益處。
本揭示內容的一些實施方式提供了一種製造半導體裝置的方法,包含:在半導體基板上方形成閘極結構;回蝕刻閘極結構;在經回蝕刻的閘極結構上方形成閘極介 電帽;在閘極介電帽上方沉積抗蝕刻層;在抗蝕刻層上方沉積接觸件蝕刻停止層、和在接觸件蝕刻停止層上方沉積層間介電質層;執行第一蝕刻製程,以形成閘極接觸件開口其延伸穿過層間介電質層並且在抵達抗蝕刻層之前終止執行第二蝕刻製程,以加深閘極接觸件開口,其中第二蝕刻製程以比起蝕刻接觸件蝕刻停止層較慢的蝕刻速率來蝕刻抗蝕刻層;以及在加深的閘極接觸件開口中形成閘極接觸件。
本揭示內容的另一些實施方式提供了一種製造半導體裝置的方法,包含:在第一閘極結構上方形成第一閘極介電帽、和在第二閘極結構上方形成第二閘極介電帽;在第一閘極介電帽和第二閘極介電帽上方沉積抗蝕刻層;在抗蝕刻層上方沉積接觸件蝕刻停止層,和在接觸件蝕刻停止層上方沉積層間介電質層;執行第一蝕刻製程,以形成第一閘極接觸件開口和第二閘極接觸件開口其延伸穿過層間介電質層,其中第一閘極接觸件開口具有比起第二閘極接觸件開口較小的寬度;執行第二蝕刻製程,以朝向第一和第二閘極結構而延伸第一和第二閘極接觸件開口,其中在第二蝕刻製程蝕刻穿過抗蝕刻層之後,第一閘極接觸件開口的側壁輪廓變得比在蝕刻抗蝕刻層之前較垂直;以及在執行第二蝕刻製程之後,在第一閘極接觸件開口中形成第一閘極接觸件並且在第二閘極接觸件開口中形成第二閘極接觸件。
本揭示內容的又另一些實施方式提供了一種半導 體裝置,包含:多個源極/汲極磊晶結構、多個源極/汲極接觸件、閘極結構、閘極介電帽、氧化物基的抗蝕刻層、氮化物基的蝕刻停止層、層間介電質層、以及閘極接觸件。多個源極/汲極磊晶結構在一基板上方。多個源極/汲極接觸件分別地在這些源極/汲極磊晶結構上方。閘極結構橫向地介於這些源極/汲極接觸件之間。閘極介電帽在閘極結構上方並且具有一底表面其低於這些源極/汲極接觸件的多個頂表面。氧化物基的抗蝕刻層在閘極介電帽上方。氮化物基的蝕刻停止層在氧化物基的抗蝕刻層上方。層間介電質層在氮化物基的蝕刻停止層上方。閘極接觸件延伸穿過層間介電質層、氮化物基的蝕刻停止層、氧化物基的抗蝕刻層、和閘極介電帽,以與閘極結構電性連接。
100:積體電路結構
102:半導體條帶
104:鰭片
106:虛擬閘極結構
108:閘極介電層
110:虛擬閘極電極
112:底部遮罩(硬遮罩層)
114:頂部遮罩(硬遮罩層)
116:閘極間隔物(閘極側壁間隔物)
118:第一間隔物層(間隔物層)
12:基板
120:第二間隔物層
122:源極/汲極結構(磊晶結構)
126:層間介電質層
130:替換閘極結構(閘極結構)
132:閘極介電層
134:功函數金屬層
136:填充金屬層
138:金屬帽(閘極金屬帽)
14:隔離區域
140:介電帽層
142:閘極介電帽(接觸件帽)
144:源極/汲極接觸件
145:抗蝕刻層
146:中間接觸件蝕刻停止層
148:層間介電質層
151:閘極接觸件
152:閘極接觸件
200:積體電路結構
202:溝槽
210:基板
212:基板部分
220:磊晶堆疊
222:犧牲層(磊晶層)
224:通道層(磊晶層、奈米片)
230:鰭片
240:淺溝槽隔離區域
250:虛擬閘極結構
252:虛擬閘極介電層
254:虛擬閘極電極層
256:氧化物層(遮罩層)
258:氮化物層(遮罩層)
260:閘極間隔物(間隔物材料層)
262:第一間隔物層
264:第二間隔物層
270:內部間隔物材料層(內部間隔物)
280:源極/汲極磊晶結構
310:層間介電質層
320:閘極結構
322:閘極介電層
324:功函數金屬層
326:填充金屬層
330:閘極金屬帽
340:閘極介電帽
350:源極/汲極接觸件
352:抗蝕刻層
360:中間接觸件蝕刻停止層
370:層間介電質層
381:閘極接觸件
382:閘極接觸件
910:硬遮罩層
912:氧化物層
914:氮化物層
A1:第一垂直蝕刻速率
A2:第二垂直蝕刻速率
B-B:線
C22:凹口拐角
C42:凹口拐角
D21:深度
D22:深度
ET1:接觸件蝕刻製程
ET2:襯墊移除蝕刻製程
ET3:接觸件蝕刻製程
ET4:襯墊移除蝕刻製程
GT1:閘極溝槽
GT2:閘極溝槽
O6:開口
O21:閘極接觸件開口
O22:閘極接觸件開口
O41:閘極接觸件開口
O42:閘極接觸件開口
R1:凹陷處
R6:凹陷處
R7:凹陷處
S/D:源極/汲極區域
T1:厚度
T2:厚度
T3:厚度
T4:厚度
T5:厚度
T6:厚度
T7:厚度
T8:厚度
W21:第一最大寬度
W22:第二最大寬度
W41:第一最大寬度
W42:第二最大寬度
X-X:切線
Y-Y:切線
本揭示內容的多個態樣可由以下的詳細描述並且與所附圖式一起閱讀,得到最佳的理解。注意的是,根據產業界的標準慣例,各個特徵並未按比例繪製。事實上,為了討論的清楚性起見,各個特徵的尺寸可任意地增加或減小。
第1圖至第20B圖繪示了根據本揭示內容的一些實施方式在積體電路結構的形成中的多個中間階段的透視圖和截面視圖。
第21圖至第39B圖繪示了根據本揭示內容的一些實 施方式在積體電路結構的形成中的多個中間階段的透視圖和截面視圖。
之後的揭示內容提供了許多不同的實施方式或實施例,以實現所提供的主題的不同的特徵。以下描述組件和佈置的具體實施例,以簡化本揭示內容。這些當然僅是實施例,並不意圖為限制性的。例如,在隨後的描述中,形成第一特徵其在第二特徵上方或之上,可包括第一和第二特徵以直接接觸而形成的實施方式,且也可包括附加的特徵可形成在介於第一和第二特徵之間,因此第一和第二特徵可不是直接接觸的實施方式。另外,本揭示內容可在各個實施例中重複參考標號和/或字母。此重複是為了簡化和清楚性的目的,重複本身不意指所論述的各個實施方式和/或配置之間的關係。
此外,為了便於描述一個元件或特徵與另一個元件或特徵之間,如圖式中所繪示的關係,在此可能使用空間相對性用語,諸如「之下」、「低於」、「較下」、「高於」、「較上」、和類似的用語。除了在圖式中繪示的方向之外,空間相對性用語旨在涵蓋裝置在使用中或操作中的不同方向。設備可能以其他方式定向(旋轉90度或處於其他定向),並且由此可同樣地解讀本文所使用的空間相對性描述詞。本文中所使用的「大致」、「約」、「大約」、或「基本上」通常應意指在一給定值或範圍的20百分比以 內,或10百分比以內、或5百分比以內。本文給定的數字量值是大約的,意指如果沒有明確地說明,則可以推斷出用語「大致」、「約」、「大約」、或「基本上」。
本揭示內容大致上關於積體電路結構和形成此積體電路結構的方法,並且更具體而言,涉及製造電晶體(例如,鰭式場效電晶體(FinFETS)、閘極全環(GAA)電晶體)、以及在電晶體的閘極結構上方的閘極接觸件。也應注意的是,本揭示內容呈現了在多閘極電晶體(multi-gate transistors)的形成方面的多個實施方式。多閘極電晶體包括一些電晶體其閘極結構形成在通道區域的至少兩個側部上。這些多閘極裝置可包括p型金屬氧化物半導體裝置、或n型金屬氧化物半導體裝置。具體的實施例可在本文呈現並且稱為鰭式場效電晶體,這是由於它們的鰭狀結構。鰭式場效電晶體具有形成在通道區域的三個側部上的閘極結構(例如,環繞在半導體鰭片內的通道區域的上部分)。本文也呈現了稱為閘極全環裝置的一種類型的多閘極電晶體的多個實施方式。閘極全環裝置包括任何裝置其具有形成在通道區域的四個側部上(例如,圍繞通道區域的一部分)的閘極結構或閘極結構的部分。本文呈現的多個裝置也包括多個實施方式其具有多個通道區域,這些通道區域設置在奈米片通道、奈米線通道、和/或其他合適的通道配置中。
在完成了用於製造電晶體的前段(front-end-of-line,FEOL)製程之後,在電晶體的閘 極結構上方形成多個閘極接觸件。作為實施例而非限制,閘極接觸件的形成通常包括在覆蓋高介電常數/金屬閘極(high-k/metal gate,HKMG)結構的閘極介電帽上方沉積層間介電質(ILD)層,經由使用一或多個蝕刻製程來形成多個閘極接觸件開口其延伸穿過層間介電質層和閘極介電帽,以及然後在閘極接觸件開口中沉積一或多個金屬層以作為閘極接觸件。
在一些實施方式中,在層間介電質層的形成之前,在閘極介電帽上方毯覆形成附加的蝕刻停止層(也稱為中間接觸件蝕刻停止層(middle contact etch stop layer,MCESL))。中間接觸件蝕刻停止層具有與層間介電質不同的蝕刻選擇性,因此中間接觸件蝕刻停止層可以減緩蝕刻穿過層間介電質層的蝕刻製程。在執行接觸件蝕刻製程以形成延伸穿過層間介電質層的閘極接觸件開口之後,執行另一個蝕刻製程(有時候稱為襯墊移除(liner removal,LRM)蝕刻,因為中間接觸件蝕刻停止層和閘極介電帽可組合用來作為在閘極結構的頂表面上方的襯墊),以穿破中間接觸件蝕刻停止層和閘極介電帽。
取決於電路功能和/或設計規則,接觸件蝕刻製程可形成具有不同的尺寸的閘極接觸件開口。替代地,由於接觸件蝕刻製程的不準確性,可能無意中形成多個閘極接觸件開口的尺寸差異。在接觸件蝕刻製程中所形成的尺寸差異可能導致了相較於較窄的閘極接觸件開口,較寬的閘極接觸件開口較深地延伸到中間接觸件蝕刻停止層中。在 多個開口的深度方面上的這個差異稱為深度負載問題。因為深度負載問題,在執行襯墊移除蝕刻製程之前,較寬的閘極接觸件開口可能有時候會穿透中間接觸件蝕刻停止層、甚至閘極介電帽。因此,襯墊移除蝕刻製程可進一步加深較寬的閘極接觸件開口而進入至例如沿著閘極結構側部的閘極間隔物,導致了在閘極間隔物中的虎牙狀凹陷處,這繼而導致漏電流(例如,從閘極接觸件到源極/汲極接觸件的漏電流)的風險增加。此外,由於深度負載,相較於較寬的閘極接觸件開口,較窄的閘極接觸件開口可能有時候具有較錐形的輪廓,這繼而導致閘極接觸件面積減小,並且因此接觸電阻增加。
因此,在各個實施方式中,本揭示內容提供了在閘極介電帽上的附加的氧化物層。氧化物層具有不同的材料組成分,並且因此具有相較於閘極介電帽和/或中間接觸件蝕刻停止層的不同的蝕刻選擇性。當閘極接觸件開口抵達氧化物層時,氧化物層因此允許減緩襯墊移除蝕刻製程。減緩襯墊移除蝕刻可以防止在較寬的開口中的虎牙狀圖案,這繼而降低漏電流的風險。此外,減緩襯墊移除蝕刻允許形成具有較垂直的輪廓的接觸件開口,這繼而導致了增加閘極接觸件面積,並且因此降低接觸件電阻。
第1圖至第20B圖繪示根據本揭示內容的一些實施方式在積體電路結構100的形成中的多個中間階段的透視圖和截面視圖。根據一些示例性實施方式,形成的電晶體可包括p型電晶體(例如p型鰭式場效電晶體)、和n型 電晶體(例如n型鰭式場效電晶體)。在各個視圖和說明性實施方式中,相似的參考標記用於表示相似的元件。理解的是,可以在第1圖至第20B圖的製程之前、期間、和之後提供附加的操作,並且對於此方法的附加的實施方式,可以替換或刪除一些以下所描述的操作。多個操作/製程的順序可為可互換的。
第1圖繪示一初始結構的透視圖。初始結構包括基板12。基板12可以是半導體基板(在一些實施方式中也稱為晶圓),其可以是矽基板、矽鍺基板、或由其他半導體材料所形成的基板。根據本揭示內容的一些實施方式,基板12包括塊材矽基板、和在塊材矽基板上方的磊晶矽鍺(SiGe)層或鍺層(其中沒有矽)。基板12可用p型或n型雜質來摻雜。隔離區域14(例如淺溝槽隔離(STI)區域)可形成為延伸到基板12中。在介於相鄰的多個淺溝槽隔離區域14之間的基板12的多個部分稱為半導體條帶102。
淺溝槽隔離區域14可包括襯墊氧化物(未示出)。襯墊氧化物可由熱氧化物所形成,熱氧化物經由基板12的表面層的熱氧化而形成。襯墊氧化物也可以是沉積的矽氧化物層,形成矽氧化物層使用例如原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、或化學氣相沉積(CVD)。淺溝槽隔離區域14也可包括在襯墊氧化物上方的介電質材料,並且形成介電質材料可使用可流動的化學氣相沉積(FCVD)、旋塗、或類似者。
參看第2圖,將淺溝槽隔離區域14凹陷化,使得 半導體條帶102的多個頂部部分突出高於相鄰的淺溝槽隔離區域14的頂表面,以形成多個突出的鰭片104。執行蝕刻可使用乾式蝕刻製程,其中使用NH3和NF3做為蝕刻氣體。在蝕刻製程期間,可產生電漿。也可包括氬。根據本揭示內容的多個替代的實施方式,執行淺溝槽隔離區域14的凹陷化使用濕式蝕刻製程。例如,蝕刻化學物也可包括稀釋的HF。
在以上所說明的示例性實施方式中,將鰭片圖案化可經由任何合適的方法。例如,將鰭片圖案化可使用一或多個光微影製程,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,允許待創建的圖案其具有例如比使用單一的直接光微影製程所獲得的節距更小的節距。例如,在一個實施方式中,在基板上方形成犧牲層並且使用光微影製程將犧牲層圖案化。使用自對準製程沿著圖案化的犧牲層側部來形成間隔物。然後移除犧牲層,並且然後可使用剩餘的間隔物或心閘以將鰭片圖案化。
突出的鰭片104的材料也可用不同於基板12的材料來代替。例如,如果突出的鰭片104用於n型電晶體,則突出的鰭片104可由Si、SiP、SiC、SiPC、或諸如InP、GaAs、AlAs、InAs、InAlAs、InGaAs、或類似者的三-五族化合物半導體而形成。另一方面,如果突出的鰭片104用於p型電晶體,則突出的鰭片104可由Si、SiGe、SiGeB、Ge、或諸如InSb、GaSb、InGaSb、 或類似者的三-五族化合物半導體而形成。
參看第3A圖和第3B圖,在突出的鰭片104的頂表面和側壁上形成虛擬閘極結構106。第3B圖繪示了從包含在第3A圖中的線B-B的垂直平面所獲得的截面視圖。虛擬閘極結構106的形成包括跨越鰭片104依序沉積閘極介電層和虛擬閘極電極層,隨後將閘極介電層和虛擬閘極電極層圖案化。因為圖案化的結果,虛擬閘極結構106包括閘極介電層108和在閘極介電層108上方的虛擬閘極電極110。閘極介電層108可以是任何可接受的介電層,例如矽氧化物、矽氮化物、類似者、或其組合,並且可使用任何可接受的製程來形成,例如熱氧化、旋塗製程、化學氣相沉積、或類似者。虛擬閘極電極110可以是任何可接受的電極層,例如包含多晶矽、金屬、類似者、或其組合。沉積閘極電極層可以經由任何可接受的沉積製程,例如化學氣相沉積、電漿促進化學氣相沉積(PECVD)、或類似者。每個虛擬閘極結構106跨越在單一個或複數個突出的鰭片104上方。虛擬閘極結構106可具有與相應的突出的鰭片104的縱向方向垂直的縱向方向。
在虛擬閘極電極層上方可形成遮罩圖案,以幫助圖案化。在一些實施方式中,硬遮罩圖案包括在多晶矽的毯覆層上方的底部遮罩112、以及在底部遮罩112上方的頂部遮罩114。硬遮罩圖案由一或多層的SiO2、SiCN、SiON、Al2O3、SiN、或其他合適的材料所製成。在某些實施方式中,底部遮罩112包括矽氮化物,並且頂部遮罩 114包括矽氧化物。經由使用遮罩圖案作為蝕刻遮罩,將虛擬電極層圖案化為虛擬閘極電極110,並且將毯覆閘極介電層圖案化為閘極介電層108。
接下來,如在第4圖中所繪示,在虛擬閘極結構106的側壁上形成閘極間隔物116。在閘極間隔物形成步驟的一些實施方式中,在基板12上沉積間隔物材料層。間隔物材料層可以是保形層,此保形層隨後被回蝕刻,以形成閘極側壁間隔物116。在一些實施方式中,間隔物材料層包括多個層,例如第一間隔物層118、和在第一間隔物層118上方所形成的第二間隔物層120。第一間隔物層118和第二間隔物層120各者由合適的材料所製成,例如矽氧化物、矽氮化物、矽碳化物、矽氧氮化物、SiCN、矽氧碳化物、SiOCN、和/或其組合。作為實施例而非限制,形成第一間隔物層118和第二間隔物層120可經由在虛擬閘極結構106上方依序地沉積兩種不同的介電質材料,使用的製程例如:化學氣相沉積製程、次常壓化學氣相沉積(subatmospheric CVD,SACVD)製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他合適的製程。在所沉積的間隔物層118和120上執行各向異性蝕刻製程,以暴露未被虛擬閘極結構106所覆蓋的鰭片104的多個部分(例如,在鰭片104的源極/汲極區域中)。經由這個各向異性蝕刻製程,可完全地移除在虛擬閘極結構106直接之上的間隔物層118和120的多個部分。在虛擬閘極結構106的側壁上的間隔物層118和120 的多個部分可保留,形成閘極側壁間隔物,為了簡化起見,將其表示為閘極間隔物116。在一些實施方式中,第一間隔物層118由矽氧化物所形成,此矽氧化物具有比矽氮化物低的介電常數,並且第二間隔物層120由矽氮化物所形成,此矽氮化物比起矽氧化物對於後續的蝕刻製程(例如,蝕刻在鰭片104中的源極/汲極凹陷處)具有較高的蝕刻阻抗性。在一些實施方式中,閘極側壁間隔物116可用於偏移隨後形成的摻雜區域,例如源極/汲極區域。閘極間隔物116可進一步用於設計或修改源極/汲極區域輪廓。
在第5圖中,在完成了閘極側壁間隔物116的形成之後,將源極/汲極結構122形成在鰭片104的源極/汲極區域上,這些源極/汲極區域沒有被虛擬閘極結構106和閘極側壁間隔物116所覆蓋。在一些實施方式中,源極/汲極結構122的形成包括凹陷化鰭片104的源極/汲極區域,隨後在鰭片104的凹陷的源極/汲極區域中磊晶成長半導體材料。
將鰭片104的源極/汲極區域凹陷化可以使用合適的選擇性蝕刻製程,其侵蝕半導體鰭片104,但是幾乎不侵蝕虛擬閘極結構106的閘極間隔物116和頂部遮罩114。例如,執行凹陷化半導體鰭片104可經由乾式化學蝕刻其利用電漿源和蝕刻劑氣體。電漿源可以是電感耦合電漿(ICR)蝕刻、變壓器耦合電漿(TCP)蝕刻、電子回旋共振(ECR)蝕刻、反應性離子蝕刻(RIE)、或類似者,並且蝕刻劑氣體可以是氟、氯、溴、其組合、或類似者,其 以比起蝕刻閘極間隔物116和虛擬閘極結構106的頂部遮罩114較快的蝕刻速率來蝕刻半導體鰭片104。在一些其他的實施方式中,執行將半導體鰭片104凹陷化可經由濕式化學蝕刻,例如氫氧化銨與過氧化氫混合物(ammonium peroxide mixture,APM)、NH4OH、四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、其組合、或類似者,此濕式化學蝕刻以比起蝕刻閘極間隔物116和虛擬閘極結構106的頂部遮罩114較快的蝕刻速率來蝕刻半導體鰭片104。在一些其他的實施方式中,執行凹陷化半導體鰭片104可經由乾式化學蝕刻和濕式化學蝕刻的組合。
一旦在鰭片104的源極/汲極區域中產生凹陷處,經由使用在半導體鰭片104上提供一或多種磊晶材料的一或多種磊晶或磊晶(epi)製程,在鰭片104的源極/汲極凹陷處中形成源極/汲極磊晶結構122。在磊晶成長製程期間,閘極間隔物116將一或多種磊晶材料限制在鰭片104中的源極/汲極區域。在一些實施方式中,磊晶結構122的晶格常數不同於半導體鰭片104的晶格常數,使得在鰭片104中以及在介於多個磊晶結構122之間的通道區域可以被磊晶結構122應變或施加應力,以改善半導體裝置的載子遷移率並增強裝置性能。磊晶製程包括化學氣相沉積技術(例如,電漿促進化學氣相沉積、氣相磊晶(vapor-phase epitaxy,VPE)、和/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶、和/或其他合適的製程。磊 晶製程可使用氣相和/或液相前驅物,其與半導體鰭片104的組成分相互作用。
在一些實施方式中,源極/汲極磊晶結構122可包括Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP、或其他合適的材料。源極/汲極磊晶結構122可在磊晶製程期間經由引入摻雜物質來進行原位摻雜,摻雜物質包括:p型摻質,例如硼或BF2;n型摻質,例如磷或砷;和/或其他合適的摻質,包括其組合。如果源極/汲極磊晶結構122不是原位摻雜的,則執行佈植製程(亦即,接面佈植製程),以摻雜源極/汲極磊晶結構122。在一些示例性實施方式中,在n型電晶體中的源極/汲極磊晶結構122包括SiP,而在p型電晶體的源極/汲極磊晶結構122包括GeSnB和/或SiGeSnB。在具有不同的裝置類型的多個實施方式中,在n型裝置區域上方可形成例如光阻劑的遮罩,而暴露p型裝置區域,並且在p型裝置區域中的暴露的鰭片104上可形成p型磊晶結構。然後可移除遮罩。隨後,在p型裝置區域上方可形成例如光阻劑的遮罩,而暴露n型裝置區域,並且在n型裝置區域中的暴露的鰭片104上可形成n型磊晶結構。然後可移除遮罩。
一旦形成了源極/汲極磊晶結構122,則可以執行退火製程以激活在源極/汲極磊晶結構122中的p型摻質或n型摻質。退火製程可以是例如快速熱退火(rapid thermal anneal,RTA)、雷射退火、毫秒熱退火(millisecond thermal annealing,MSA)製程、或 類似者。
接下來,在第6圖中,在基板12上形成層間介電質(ILD)層126。在一些實施方式中,在形成層間介電質層126之前,可選地形成接觸件蝕刻停止層(CESL)。在一些實施例中,接觸件蝕刻停止層包括矽氮化物層、矽氧化物層、矽氧氮化物層、和/或具有不同於層間介電質層126的蝕刻選擇性的其他合適的材料。形成接觸件蝕刻停止層可經由電漿促進化學氣相沉積(PECVD)製程、和/或其他合適的沉積或氧化製程。在一些實施方式中,層間介電質層126包括多種材料,諸如四乙基正矽酸鹽(TEOS)氧化物、未摻雜的矽酸鹽玻璃、或摻雜的矽氧化物,諸如硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼摻雜的矽玻璃(BSG)、和/或具有不同於接觸件蝕刻停止層的蝕刻選擇性的其他合適的介電質材料。沉積層間介電質層126可經由電漿促進化學氣相沉積製程、或其他合適的沉積技術。在一些實施方式中,在層間介電質層126的形成之後,晶圓可經受高熱預算製程,以將層間介電質層126退火。
在一些實施例中,在形成層間介電質層126之後,可執行平坦化製程,以移除層間介電質層126的過量的材料。例如,平坦化製程包括化學機械平坦化(CMP)製程,其移除了覆蓋虛擬閘極結構106的層間介電質層126的多個部分(以及接觸件蝕刻停止層,如果存在的話)。在一些實施方式中,化學機械平坦化製程也移除硬遮罩層112、 114(如在第5圖中所示,並且暴露虛擬閘極電極110。
接下來,如在第7圖中所繪示,移除了剩餘的虛擬閘極結構106,導致了在介於對應的多個閘極側壁間隔物116之間的閘極溝槽GT1。移除虛擬閘極結構106使用選擇性蝕刻製程(例如,選擇性乾式蝕刻、選擇性濕式蝕刻、或其組合),其以比起蝕刻其他的材料(例如,閘極側壁間隔物116和/或層間介電質層126)較快的蝕刻速率來蝕刻在虛擬閘極結構106中的多種材料。
之後,在多個閘極溝槽GT1中分別地形成多個替換閘極結構130,如在第8圖中所繪示。閘極結構130可以是鰭式場效電晶體的最終閘極。最終閘極結構各者可以是高介電常數/金屬閘極(HKMG)堆疊,然而,其他的組成也是可行的。在一些實施方式中,每個閘極結構130形成了閘極,此閘極與由鰭片104所提供的通道區域的三個側部相關聯。換句話說,每個閘極結構130在三個側部上圍繞鰭片104。在各個實施方式中,高介電常數/金屬閘極結構130包括襯在閘極溝槽GT1上的閘極介電層132、形成在閘極介電層132上方的功函數金屬層134、以及形成在功函數金屬層134上方並填充閘極溝槽GT1的剩餘部分的填充金屬層136。閘極介電層132包括界面層(例如矽氧化物層)、以及在界面層上方的高介電常數閘極介電層。本文所使用和所描述的高介電常數閘極介電質(High-k gate dielectrics)包括具有高介電常數的介電質材料,例如,大於熱矽氧化物的介電常數(~3.9)。在高介電常數 /金屬閘極結構130之內所使用的功函數金屬層134和/或填充金屬層136可包括金屬、金屬合金、或金屬矽化物。高介電常數/金屬閘極結構130的形成可包括:多個沉積製程以形成各種閘極材料、一或多個襯墊層,以及一或多個化學機械平坦化製程以移除過量的閘極材料。
在一些實施方式中,閘極介電層132的界面層可包括介電質材料,例如矽氧化物(SiO2)、HfSiO、或矽氧氮化物(SiON)。形成界面層可經由化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)、和/或其他合適的方法。閘極介電層132的高介電常數介電質層可包括鉿氧化物(HfO2)。替代地,閘極介電層132可包括其他的高介電常數介電質,例如鉿矽氧化物(HfSiO)、鉿矽氧氮化物(HfSiON)、鉿鉭氧化物(HfTaO、鉿鈦氧化物(HfTiO)、鈦鋯氧化物(HfZrO)、鑭氧化物(LaO)、鋯氧化物(ZrO)、鈦氧化物(TiO)、鉭氧化物(Ta2O5)、釔氧化物(Y2O3)、鍶鈦氧化物(SrTiO3,STO)、鋇鈦氧化物(BaTiO3,BTO)、鋇鋯氧化物(BaZrO)、鉿鑭氧化物(HfLaO)、鑭矽氧化物(LaSiO)、鋁矽氧化物(AlSiO)、鋁氧化物(Al2O3)、矽氮化物(Si3N4)、矽氧氮化物(SiON)、或其組合。
功函數金屬層134可包括多種功函數金屬,以為高介電常數/金屬閘極結構130提供合適的功函數。對於n型鰭式場效電晶體,功函數金屬層134可包括一或多種n型功函數金屬(N-金屬)。n型功函數金屬可示例性地包括 但不限於,鈦鋁化物(TiAl)、鈦鋁氮化物(TiAlN)、碳氮化鉭(TaCN)、鉿(Hf)、鋯(Zr)、鈦(Ti)、鉭(Ta)、鋁(Al)、金屬碳化物(例如,鉿碳化物(HfC)、鋯碳化物(ZrC)、鈦碳化物(TiC)、鋁碳化物(AlC)、鋁化物(aluminides)、和/或其他合適的材料。另一方面,對於p型鰭式場效電晶體,功函數金屬層134可包括一或多種p型功函數金屬(P-金屬)。p型功函數金屬可示例性地包括但不限於鈦氮化物(TiN)、鎢氮化物(WN)、鎢(W)、釕(Ru)、鈀(Pd)、鉑(Pt)、鈷(Co)、鎳(Ni)、導電性金屬氧化物、和/或其他合適的材料。
在一些實施方式中,填充金屬層136可示例性地包括但不限於鎢、鋁、銅、鎳、鈷、鈦、鉭、鈦氮化物、鉭氮化物、鎳矽化物、鈷矽化物、TaC、TaSiN、TaCN、TiAl、TiAlN、或其他合適的材料。
然後參照第9圖。執行回蝕刻製程,以回蝕刻替換閘極結構130和閘極間隔物116,導致在經回蝕刻的閘極結構130上方的凹陷處R1以及經回蝕刻的閘極間隔物116。在一些實施方式中,因為替換閘極結構130的材料具有比起閘極間隔物116不同的蝕刻選擇性,所以可首先執行第一選擇性蝕刻製程,以回蝕刻替換閘極結構130,因此降低替換閘極結構130,以下降到低於閘極間隔物116。然後,執行第二選擇性蝕刻製程,以降低閘極間隔物116。結果,替換閘極結構130的頂表面可與閘極間隔物116的頂表面處於不同的水平面。例如,在如第9圖中 所繪示的所描述的實施方式中,替換閘極結構130的頂表面低於閘極間隔物116的頂表面。然而,在一些其他的實施方式中,替換閘極結構130的頂表面可與閘極間隔物116的頂表面齊平或高於閘極間隔物116的頂表面。
然後,經由合適的製程,例如化學氣相沉積或原子層沉積,可選地分別地在替換閘極結構130的頂部形成閘極金屬帽138。在一些實施方式中,在替換閘極結構130上形成金屬帽138,使用自下而上的方式。例如,金屬帽138選擇性地成長在金屬表面上,例如功函數金屬層134和填充金屬層136,並且因此閘極間隔物116的側壁基本上沒有金屬帽138的成長。作為實施例而非限制,金屬帽138可以是基本上無氟的鎢(fluorine-free tungsten,FFW)膜,基本上無氟的鎢膜具有氟污染物的量小於5原子百分比,並且在使用含氯的前驅物所形成的無氟的鎢的一些實施方式中,氯污染物的量大於3原子百分比。例如,形成無氟的鎢膜、或包含無氟的鎢的膜可經由原子層沉積或化學氣相沉積,使用一或多種非氟基的鎢前驅物,例如但不限於五氯化鎢(WCl5)、六氯化鎢(WCl6)。在一些實施方式中,金屬帽138的多個部分可在閘極介電層132上方延伸,使得金屬帽138也可覆蓋閘極介電層132的暴露的表面。由於金屬帽138是以自下而上的方式而形成的,因此可簡化其形成,經由例如減少重複的回蝕刻製程,所述回蝕刻製程用於移除由保形的成長所導致的不想要的金屬材料。
在使用自下而上的方式所形成金屬帽138的一些實施方式中,與在介電質表面(亦即,在閘極間隔物116和/或層間介電質層126中的介電質)相比,在金屬表面上(亦即,在閘極結構130中的金屬)金屬帽138的成長具有不同的成核延遲。在金屬表面上的成核延遲比在介電質表面上的成核延遲短。成核延遲差異因此允許在金屬表面上選擇性成長。在各個實施方式中,本揭示內容利用這樣的選擇性,以允許從閘極結構130的金屬成長,而抑制從間隔物116和/或層間介電質層126的金屬成長。結果,在閘極結構130上的金屬帽138的沉積速率快於在間隔物116和層間介電質層126上的沉積速率。在一些實施方式中,所得的金屬帽138的頂表面低於經回蝕刻的閘極間隔物116的頂表面。然而,在一些其他的實施方式中,金屬帽138的頂表面可齊平於或高於經回蝕刻的閘極間隔物116的頂表面。
接下來,在基板12上方沉積介電帽層140,直到凹陷處R1被過度填充,如在第10圖中所繪示。介電帽層140包括SiN、SiC、SiCN、SiON、SiCON、其組合、或類似者,並且形成介電帽層140經由合適的沉積技術,例如化學氣相沉積、電漿促進化學氣相沉積(PECVD)、原子層沉積、遠程電漿原子層沉積(RPALD)、電漿促進原子層沉積(PEALD)、其組合、或類似者。然後執行化學機械平坦化製程,以移除在凹陷處R1之外的帽層,留下在凹陷處R1中的介電帽層140的多個部分,以作為閘極介電帽 142。所得的結構如在第11圖中所繪示。
參照第12圖,形成源極/汲極接觸件144其延伸穿過層間介電質層126。作為實施例而非限制,源極/汲極接觸件144的形成包括執行一或多個蝕刻製程,以形成延伸穿過層間介電質層126(和接觸件蝕刻停止層,如果存在的話)的接觸件開口,以暴露源極/汲極磊晶結構122,沉積一或多種金屬材料其過度填充接觸件開口,以及然後執行化學機械平坦化製程以移除在接觸件開口之外的過量的金屬材料。在一些實施方式中,一或多個蝕刻製程是選擇性蝕刻,其以比起蝕刻閘極介電帽142和閘極間隔物116較快的蝕刻速率來蝕刻層間介電質層126。結果,執行選擇性蝕刻使用閘極介電帽142和閘極間隔物116作為蝕刻遮罩,使得接觸件開口並且因此源極/汲極接觸件144被形成為自對準於源極/汲極磊晶結構122,而不使用附加的光微影製程。在那樣的情況下,源極/汲極接觸件144可以稱為自對準的接觸件(self-aligned contacts,SAC),並且閘極介電帽142(其允許形成自對準的接觸件144)可以稱為自對準的接觸件帽142。作為自對準的接觸件形成的結果,自對準的接觸件帽142各者具有分別地與源極/汲極接觸件144接觸的相對的多個側壁。
在第13圖中,在閘極介電帽142和源極/汲極接觸件144上方形成抗蝕刻層145。形成抗蝕刻層145可經由原子層沉積製程、電漿促進化學氣相沉積製程、和/或其他合適的沉積製程。在一些實施方式中,抗蝕刻層145由 不同於閘極介電帽142的材料和隨後形成的中間接觸件蝕刻停止層的材料所製成。例如,閘極介電帽142和隨後形成的中間接觸件蝕刻停止層由相同的材料(例如,矽氮化物)所製成,在其間沒有蝕刻選擇性,並且抗蝕刻層145由氧化物基的材料或不同於矽氮化物的其他合適的介電質材料所製成。作為實施例而非限制,氧化物基的材料包括:矽氧化物(SiOx)、四乙基正矽酸鹽(四乙氧基矽烷(tetraethoxysilane);四乙基正矽酸鹽(tetraethylorthosilicate);矽酸四乙酯(tetraethelorthosilicate)、四乙氧基矽化物(tetrethoxysilicide))氧化物、富矽的矽氧化物、或其他合適的氧化物基的介電質材料。富矽的矽氧化物是包括多於50%矽的矽氧化物。因為材料差異,抗蝕刻層145具有不同於隨後形成的中間接觸件蝕刻停止層和閘極介電帽142的蝕刻選擇性。結果,在隨後的襯墊移除蝕刻製程中,抗蝕刻層145可以具有比起閘極介電帽142和中間接觸件蝕刻停止層都較慢的蝕刻速率,這允許減緩襯墊移除蝕刻製程,這將在以下更詳細地討論。
在一些實施方式中,抗蝕刻層145具有厚度T1。在一些實施方式中,對於3奈米(nm)技術節點,厚度T1在從約1埃到約50埃的範圍內。在一些進一步的實施方式中,厚度T1與閘極介電帽142的最大厚度T2的比率在從約3:100至約60:100的範圍內。如果厚度比率T1/T2太小,則抗蝕刻層145可能太薄而不能減緩隨後的襯墊移 除蝕刻製程。如果厚度比率T1/T2過大,則抗蝕刻層145可能太厚而不能在預期的蝕刻持續時間之內被穿透。對於其他技術節點,例如20奈米節點、16奈米節點、10奈米節點、7奈米節點、和/或5奈米節點,抗蝕刻層145的厚度T1可在從約1奈米至約20奈米的範圍內。
在第14圖中,一旦在閘極介電帽142上方已形成抗蝕刻層145,然後在抗蝕刻層145上方形成中間接觸件蝕刻停止層(MCESL)146。形成中間接觸件蝕刻停止層146可經由電漿促進化學氣相沉積製程和/或其他合適的沉積製程。在一些實施方式中,中間接觸件蝕刻停止層146是矽氮化物層和/或其他合適的材料其具有與隨後形成的層間介電質層(如在第15圖中所繪示)不同的蝕刻選擇性。在一些實施方式中,閘極介電帽142和中間接觸件蝕刻停止層146都是氮化物基的材料(例如,矽氮化物),並且因此抗蝕刻層145(例如,氧化物基的層)具有與閘極介電帽142和中間接觸件蝕刻停止層146都不同的蝕刻選擇性。在一些實施方式中,中間接觸件蝕刻停止層146具有厚度T3其大於抗蝕刻層145的厚度T1。例如,中間接觸件蝕刻停止層146的厚度T3在從約3奈米至約20奈米的範圍內。如在第14圖中所示,在閘極結構130上方,閘極介電帽142與中間接觸件蝕刻停止層146經由抗蝕刻層145而分隔。
參照第15圖,在中間接觸件蝕刻停止層146上方形成另一個層間介電質層148。在一些實施方式中,層 間介電質層148包括多種材料,例如:四乙基正矽酸鹽(TEOS)氧化物、未摻雜的矽酸鹽玻璃、或摻雜的矽氧化物材料,例如硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、硼摻雜的矽玻璃(BSG),和/或具有與中間接觸件蝕刻停止層146不同的蝕刻選擇性的其他合適的介電質材料(例如矽氮化物)。在某些實施方式中,層間介電質層148由矽氧化物(SiOx)所形成。沉積層間介電質層148可經由電漿促進化學氣相沉積製程或其他合適的沉積技術。在一些實施方式中,層間介電質層148具有厚度T4其大於中間接觸件蝕刻停止層146的厚度T3和抗蝕刻層145的厚度T1。在一些進一步的實施方式中,層間介電質層148的厚度T4大於中間接觸件蝕刻停止層146和抗蝕刻層145的總厚度。例如,層間介電質層148的厚度T4在從約3奈米至約100奈米的範圍內。
參照第16圖,將層間介電質層148圖案化為形成閘極接觸件開口O21和O22其延伸穿過層間介電質層148,經由使用第一蝕刻製程(也稱為接觸件蝕刻製程)ET1。在一些實施方式中,接觸件蝕刻製程ET1是各向異性蝕刻製程,例如電漿蝕刻。以電漿蝕刻為例,將具有在第15圖中所繪示的結構的半導體基板12裝載到電漿工具中,並暴露於由射頻或微波功率所產生的電漿環境中,在含氟的氣體(例如:C4F8、C5F8、C4F6、CHF3或類似的物質)、惰性氣體(例如氬或氦)、選擇性的弱氧化劑(例如O2或CO或類似的物質)的氣體混合物中,持續時間足 以蝕刻穿過層間介電質層148,並且凹陷化在閘極接觸件開口O21和O22的底部處的中間接觸件蝕刻停止層146的多個暴露的部分。在包含C4F6、CF4、CHF3、O2、和氬的氣體混合物中所產生的電漿可以用於蝕刻穿過層間介電質層148,並且凹陷化在閘極接觸件開口O21和O22的底部處的中間接觸件蝕刻停止層146的多個暴露的部分。電漿蝕刻環境具有介於約10毫托和約100毫托之間的壓力,並且電漿由介於約50瓦和約1000瓦之間的射頻功率所產生。
在一些實施方式中,以這樣的方式選擇接觸件蝕刻製程ET1的前述蝕刻劑和蝕刻條件,使得中間接觸件蝕刻停止層146(例如,SiN)表現出比起層間介電質層148(例如,SiOx)較慢的蝕刻速率。以這種方式,中間接觸件蝕刻停止層146可以作為可檢測的蝕刻終點,這繼而防止過度蝕刻,並且因此防止穿透或穿破中間接觸件蝕刻停止層146。換句話說,接觸件蝕刻製程ET1被調整為以比起蝕刻矽氮化物較快的蝕刻速率來蝕刻矽氧化物。已經觀察到,當蝕刻電漿由包含氫(H2)氣體的氣體混合物所產生時,矽氮化物的蝕刻速率增加。結果,根據本揭示內容的一些實施方式,執行接觸件蝕刻製程ET1使用無氫的氣體混合物。換句話說,在接觸件蝕刻製程ET1中的電漿是在沒有氫(H2)氣體的氣體混合物中所產生的。以這種方式,矽氮化物的蝕刻速率在接觸件蝕刻製程ET1中保持較低,這繼而允許以比起蝕刻矽氮化物(亦即中間接觸件蝕刻停止層和 閘極介電帽材料)較快的蝕刻速率來蝕刻矽氧化物(亦即層間介電質材料)。
在一些實施方式中,在接觸件蝕刻製程ET1之前,執行光微影製程,以定義閘極接觸件開口O21和O22的預期的俯視圖案。例如,光微影製程可包括在層間介電質層148(如在第15圖中所繪示)上方旋塗光阻劑層,執行曝光後烘烤製程,以及將光阻劑層顯影以形成圖案化的遮罩,此圖案化的遮罩具有閘極接觸件開口O21和O22的俯視圖案。在一些實施方式中,執行圖案化光阻劑層以形成圖案化的遮罩可使用電子束(e-beam)微影製程或極紫外線(EUV)微影製程。
在如第16圖所繪示的一些實施方式中,在接觸件蝕刻製程ET1中同時地形成第一橫向尺寸(例如,第一最大寬度W21)的閘極接觸件開口O21、和第二橫向尺寸(例如,第二最大寬度W22)的閘極接觸件開口O22。第二最大寬度W22可大於第一最大寬度W21。取決於電路功能和/或設計規則,可有意地形成介於閘極接觸件開口O21和O22之間的寬度差異。替代地,閘極接觸件開口O21和O22之間的寬度差異可能由於接觸件蝕刻製程ET1的不準確性而無意中形成。例如,當形成的閘極接觸件開口O21和O22相對於原始設計位置未對準時,閘極接觸件開口O21和O22中的一或多者可被其他特徵(例如,在層間介電質層148上方形成的圖案化的遮罩)所限制,並且具有與原始設計不同的尺寸。雖然,這些圖式經由描述顯示 了積體電路結構100僅包括較窄的閘極接觸件開口O21和較寬的閘極接觸件開口O22,但是這僅僅是一個實施例。取決於不同的應用,積體電路結構100可容納任意數量的具有不同尺寸的閘極接觸件。
已經觀察到,在閘極接觸件開口O21和O22的寬度方面的差異影響了接觸件蝕刻製程ET1的結果,使得較寬的閘極接觸件開口O22比起較窄的閘極接觸件開口O21較深。更具體地,一旦完成了接觸件蝕刻製程ET1,較窄的閘極接觸件開口O21具有深度D21,並且較寬的閘極接觸件開口O22具有比深度D21較大的深度D22。在閘極接觸件開口O21和O22的深度方面的差異稱為由閘極接觸件開口的寬度差異導致的深度負載。
第17圖繪示了根據本揭示內容的一些實施方式的第二蝕刻製程(也稱為襯墊移除蝕刻製程)ET2的初始階段的截面視圖,第18圖繪示了根據本揭示內容的一些實施方式的襯墊移除蝕刻製程ET2的後續階段的截面視圖,並且第19A圖繪示了根據本揭示內容的一些實施方式的襯墊移除蝕刻製程ET2的最終階段的截面視圖。控制襯墊移除蝕刻製程ET2的蝕刻持續時間,以穿破(或稱為穿透)中間接觸件蝕刻停止層146、抗蝕刻層145、和閘極介電帽142,因此加深或延伸閘極接觸件開口O21和O22向下至在閘極結構130上方的閘極金屬帽138。作為襯墊移除蝕刻製程ET2的結果,在加深的閘極接觸件開口O21和O22的底部處,閘極金屬帽138得到暴露。
在一些實施方式中,襯墊移除蝕刻製程ET2是各向異性蝕刻製程,例如使用與接觸件蝕刻製程ET1不同的蝕刻劑和/或蝕刻條件的電漿蝕刻(例如,感應耦合電漿(ICP)、電容耦合電漿(CCP)、或類似者)。抗蝕刻層145(例如,氧化物基的材料)表現出比起中間接觸件蝕刻停止層146和閘極介電帽142(例如,氮化物基的材料)較慢的蝕刻速率,以這樣的方式來選擇襯墊移除蝕刻製程ET2的蝕刻劑和/或蝕刻條件。換句話說,在襯墊移除蝕刻製程ET2中,抗蝕刻層145具有比起中間接觸件蝕刻停止層146和閘極介電帽142較高的蝕刻阻抗性。以這種方式,抗蝕刻層145可以減緩襯墊移除蝕刻製程ET2,這繼而將減緩垂直的蝕刻速率,並且因此當閘極接觸件開口O21和O22抵達抗蝕刻層145時,在閘極接觸件開口O21和O22中的深度增加。因此,經由抗蝕刻層145可以減小介於較窄的閘極接觸件開口O21和較寬的閘極接觸件開口O22之間的深度差異。減小的深度負載因此防止了在較寬的閘極接觸件開口O22中形成虎牙狀圖案,這繼而降低了漏電流(例如,從閘極接觸件到源極/汲極接觸件的漏電流)的風險。此外,因為抗蝕刻層145減緩了閘極接觸件開口O21和O22的下部分的垂直的蝕刻速率,但沒有減緩橫向的蝕刻速率,所以當閘極接觸件開口O21和O22抵達抗蝕刻層145時,襯墊移除蝕刻製程ET2可以在蝕刻抗蝕刻層145期間橫向地擴展閘極接觸件開口O21和O22的下部分,使得閘極接觸件開口O21和O22的底部 寬度可以增加,並且閘極接觸件開口O21和O22的側壁輪廓可以變得比在抗蝕刻層145被穿透之前較垂直或較陡,如在第17圖至第18圖中所繪示。
以電漿蝕刻為襯墊移除蝕刻製程ET2的實施例,將具有在第16圖中所繪示的結構的半導體基板12裝載到電漿工具中,並暴露於由射頻或微波功率所產生的電漿環境中,在一或多種含氟的氣體(例如,CHF3、CF4、C2F2、C4F6、CxHyFz(x、y、z=0-9)、或類似物質)、含氫的氣體(例如,H2)、含氮的氣體(例如,N2)、含氧的氣體(例如O2)、和惰性氣體(例如,氬或氦)的氣體混合物中,持續時間足以蝕刻穿過抗蝕刻層145和在下方的閘極介電帽142。電漿蝕刻環境具有介於約10毫托和約100毫托之間的壓力,並且電漿由介於約50瓦和約1000瓦之間的射頻功率所產生。
來自於含氫的氣體混合物所產生的電漿可以用比起蝕刻氧化物基的材料(例如矽氧化物)較快的蝕刻速率來蝕刻矽氮化物,並且因此使用含氫的氣體混合物的襯墊移除蝕刻製程ET2以比起蝕刻氮化物基的中間接觸件蝕刻停止層146較慢的蝕刻速率來蝕刻氧化物基的抗蝕刻層145。以這種方式,抗蝕刻層145可以減緩襯墊移除蝕刻製程ET2。在一些實施方式中,襯墊移除蝕刻製程ET2使用CHF3氣體和H2氣體的氣體混合物,其中CHF3氣體與H2氣體的流速比率為從約1:1至約1:100。在一些實施方式中,襯墊移除蝕刻製程ET2使用CF4氣體和H2氣體 的氣體混合物,其中CF4氣體與H2氣體的流速比率為從約1:1至約1:100。過高的H2氣體流速可能導致在穿過閘極介電帽142的蝕刻中的過快的蝕刻速率,這繼而可能導致在較寬的閘極接觸件開口O22中不可忽略的虎牙狀凹陷處。過低的H2氣體流速可能導致介於抗蝕刻層145和中間接觸件蝕刻停止層146之間的不足的蝕刻選擇性。在一些實施方式中,抗蝕刻層145的蝕刻速率與中間接觸件蝕刻停止層146和/或閘極介電帽142的蝕刻速率的比率在從約5至約10的範圍內。
在襯墊移除蝕刻製程ET2的初始階段,如在第17圖中所繪示,電漿蝕刻劑以第一垂直蝕刻速率A1來蝕刻中間接觸件蝕刻停止層146。在襯墊移除蝕刻製程ET2的後續的階段,一旦閘極接觸件開口O21和O22穿透中間接觸件蝕刻停止層146,抗蝕刻層145得到暴露,並且然後電漿蝕刻劑以比起第一垂直蝕刻速率A1較慢的第二垂直蝕刻速率A2來蝕刻抗蝕刻層145,如在第18圖中所繪示。結果,介於較窄的閘極接觸件開口O21和較寬的閘極接觸件開口O22之間的深度差異可以經由抗蝕刻層145而減小。此外,襯墊移除蝕刻製程ET2可以在蝕刻抗蝕刻層145期間橫向地擴展閘極接觸件開口O21和O22的下部分,使得閘極接觸件開口O21和O22具有增加的底部寬度和較垂直的側壁輪廓,如在第18圖中所繪示。作為如在第19A圖中所繪示的襯墊移除蝕刻製程ET2的結果,閘極接觸件開口O21和O22具有基本上垂直的側壁,並 且沒有虎牙狀凹陷處。
在一些實施方式中,閘極接觸件開口O21和O22的側壁線性地和垂直地延伸穿過層間介電質層148的整個厚度、中間接觸件蝕刻停止層146的整個厚度、抗蝕刻層145的整個厚度、和閘極介電帽142的整個厚度,而沒有斜率變化。在如第19B圖中所繪示的一些其他實施方式中,閘極接觸件開口O21和O22的下部分的側壁可變為錐形,因為襯墊移除蝕刻製程ET2可用比起蝕刻抗蝕刻層145較快的垂直的蝕刻速率來蝕刻閘極介電帽142,尤其是當閘極介電帽142由與中間接觸件蝕刻停止層146相同的材料(例如,矽氮化物)所形成時。在這種情況下,閘極接觸件開口O21和O22的側壁在閘極接觸件開口O21和O22的上部分之內比起在閘極接觸件開口O21和O22的下部分之內較垂直(或較陡),並且在閘極接觸件開口O21和O22的側壁的斜率變化可位在介於抗蝕刻層145和閘極介電帽142之間的界面處。
在如第19A圖中所描繪的一些實施方式中,較寬的閘極接觸件開口O22可延伸到相鄰的閘極間隔物116中,導致了在閘極間隔物116中的凹口拐角C22。這個凹口拐角C22可能是無意中形成,由於接觸件蝕刻製程ET1和/或襯墊移除蝕刻製程ET2的不準確性。然而,即使在這個情況下,閘極間隔物116不會被無意地過度蝕刻而形成虎牙狀凹陷處,因為如先前所討論的內容,在穿透抗蝕刻層145期間,減緩了在較寬的閘極接觸件開口O22中 的深度增加。鑒於較寬的閘極接觸件開口O22沒有或具有可忽略的虎牙狀凹陷處,可以降低漏電流(例如,介於源極/汲極接觸件和隨後在閘極接觸件開口O22中所形成的閘極接觸件之間的漏電流)的風險。在閘極間隔物116是雙層結構的一些實施方式中,帶凹口的閘極間隔物116具有階梯狀頂表面結構,其中階梯狀頂表面結構的下部台階是經由襯墊移除蝕刻製程ET2而凹陷化的第一間隔物層118的頂表面,並且階梯狀頂表面結構的上部台階是沒有經由襯墊移除蝕刻製程ET2而凹陷化的第二間隔物層120的頂表面。
在一些實施方式中,以上所討論的接觸件蝕刻製程ET1和襯墊移除蝕刻製程ET2是原位執行的(例如,使用相同的電漿蝕刻工具而沒有真空中斷)。在一些實施方式中,接觸件蝕刻製程ET1和襯墊移除蝕刻製程ET2組合為原位蝕刻,包括四個階段:蝕刻穿過層間介電質層148(例如,矽氧化物)、蝕刻穿過中間接觸件蝕刻停止層146(例如,矽氮化物)、蝕刻穿過抗蝕刻層(例如,矽氧化物)、和蝕刻穿過自對準的接觸件帽142(例如,矽氮化物)。在一些實施方式中,以上所討論的接觸件蝕刻製程ET1和襯墊移除蝕刻製程ET2是非原位(ex-situ)執行的。接觸件蝕刻製程ET1包括兩個階段:1)蝕刻穿過層間介電質層148(例如,矽氧化物)、以及2)蝕刻穿過中間接觸件蝕刻停止層146(例如,矽氮化物)。襯墊移除蝕刻製程ET2包括兩個階段:1)蝕刻穿過抗蝕刻層145(例如,矽氧化物),以及 2)蝕刻穿過自對準的接觸件帽142(例如,矽氮化物)。根據本揭示內容的各個實施方式,這些階段的氣體比例和/或功率可以相同或不同。在一些實施方式中,因為抗蝕刻層145具有不大於約50埃的厚度,所以它可以被自然地穿透而無需考慮蝕刻停止(亦即,無需考慮蝕刻製程可能被抗蝕刻層145停止)。
參照第20A圖,然後在閘極接觸件開口O21和O22中形成閘極接觸件151和152,以通過閘極金屬帽138而與高介電常數/金屬閘極結構130進行電性連接。作為實施例而非限制,形成閘極接觸件151和152使用沉積一或多種金屬材料其過度填充閘極接觸件開口O21和O22,隨後進行化學機械平坦化製程以移除在閘極接觸件開口O21和O22之外的過量的金屬材料。作為化學機械平坦化製程的結果,閘極接觸件151和152具有與層間介電質層148基本上共平面的頂表面。閘極接觸件151和152可包含金屬材料,例如銅、鋁、鎢、其組合、或類似者,並且可使用物理氣相沉積、化學氣相沉積、原子層沉積、或類似者而形成。在一些實施方式中,閘極接觸件151和152可進一步包含一或多個阻障層/黏附層(未示出),以保護層間介電質層148、中間接觸件蝕刻停止層146、抗蝕刻層145、和/或閘極介電帽142免受金屬擴散(例如,銅擴散)。一或多個阻障層/黏附層可包含鈦、鈦氮化物、鉭、鉭氮化物、或類似者,並且可使用物理氣相沉積、化學氣相沉積、原子層沉積、或類似者來形成。
在一些實施方式中,閘極接觸件151和152承襲了具有垂直的側壁輪廓且沒有虎牙狀輪廓的閘極接觸件開口O21和O22的幾何形狀,因此閘極接觸件151和152也具有垂直的側壁輪廓且沒有虎牙狀輪廓。更詳細而言,閘極接觸件151和152的側壁線性地和垂直地延伸穿過層間介電質層148的整個厚度、中間接觸件蝕刻停止層146的整個厚度、抗蝕刻層145的整個厚度、和閘極介電帽142的整個厚度,而沒有斜率變化。在如第20B圖中所繪示的一些其他的實施方式中,閘極接觸件151和152的下部分的側壁可變為錐形,因為襯墊移除蝕刻製程ET2可用比起蝕刻抗蝕刻層145較快的垂直的蝕刻速率來蝕刻閘極介電帽142,尤其是當閘極介電帽142由與中間接觸件蝕刻停止層146相同的材料(例如,矽氮化物)所形成時。在這種情況下,閘極接觸件151和152的側壁在閘極接觸件151和152的上部分之內比起在閘極接觸件151和152的下部分之內較垂直(或較陡),並且閘極接觸件151和152的側壁的斜率變化可位在介於抗蝕刻層145和閘極介電帽142之間的界面處。
第21圖至第39B圖繪示了根據本揭示內容的一些實施方式在積體電路結構200的形成中的多個中間階段的透視圖和截面視圖。根據一些示例性實施方式,所形成的電晶體可包括p型電晶體(例如p型閘極全環場效電晶體)、以及n型電晶體(例如n型閘極全環場效電晶體)。在各個視圖和說明性實施方式中,相似的參考標記用於表示 相同的元件。理解的是,可以在第21圖至第39B圖的操作之前、期間、和之後提供附加的操作,並且對於此方法的附加的實施方式,可以替換或刪除一些以下所描述的操作。多個操作/製程的順序可為可互換的。
第21圖、第22圖、第23圖、第24A圖、第25A圖、第26A圖、和第27A圖是在製造期間在多個中間階段時的積體電路結構200的一些實施方式的透視圖。第24B圖、第25B圖、第26B圖、第27B圖、第28圖至第30圖、第31A圖、和第32圖至第39B圖是積體電路結構200的一些實施方式在製造期間的多個中間階段沿著第一切線(例如,在第24A圖中的切線X-X)的截面視圖,第一切線沿著通道的縱向方向並且垂直於基板的頂表面。第31B圖是積體電路結構200的一些實施方式在製造期間的中間階段沿著第二切線(例如,第24A圖中的切線Y-Y)的截面視圖,第二切線在閘極區域中並且垂直於通道的縱向方向。
參照第21圖,在基板210上方形成磊晶堆疊220。在一些實施方式中,基板210可包括矽(Si)。替代地,基板210可包括鍺(Ge)、矽鍺(SiGe)、三五族材料(例如,GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb、和/或GaInAsP;或其組合)、或其他適當的半導體材料。在一些實施方式中,基板210可包括絕緣體上半導體(SOI)結構,例如埋入的介電層。同樣替代地,基板210可包括埋入的介電層,例如埋 入的氧化物(buried oxide,BOX)層,例如經由稱為氧佈植隔離(separation by implantation of oxygen,SIMOX)技術的方法、晶圓鍵合、選擇性磊晶成長(SEG)、或另一種適當的方法所形成的埋入的介電層。
磊晶堆疊220包括第一組成分的多個磊晶層222,其被第二組成分的多個磊晶層224所插入。第一和第二組成分可以不同。在一些實施方式中,磊晶層222是SiGe,並且磊晶層224是矽(Si)。然而,其他的實施方式是可能的,包括那些提供具有不同的氧化速率和/或蝕刻選擇性的第一組成分和第二組成分的多個實施方式。在一些實施方式中,磊晶層222包括SiGe,並且在其中磊晶層224包括Si的情況下,磊晶層224的Si氧化速率小於磊晶層222的SiGe氧化速率。
磊晶層224、或磊晶層224的多個部分可形成多閘極電晶體的奈米片通道。用語奈米片在本文中用於表示任何具有奈米級或甚至微米級尺寸並且具有細長形狀的材料部分,而無論這個部分的橫截面形狀如何。因此,這個用語表示圓形和基本上圓形橫截面的細長材料部分,以及梁狀的或杆狀的材料部分,包括例如圓柱形或基本上矩形的橫截面。以下進一步討論使用磊晶層224以定義裝置的一個通道或多個通道。
須注意的是,如在第21圖中所繪示,三層的磊晶層222和三層的磊晶層224交替地排列,這僅是為了說明的目的,而不是為了限制在請求項中所具體記載的內容。 可以理解,可以在磊晶堆疊220中形成任意數目的磊晶層;層的數目取於用於電晶體的通道區域的所期望的數目。在一些實施方式中,磊晶層224的數目介於2和10之間。
如下文更詳細描述的,磊晶層224可用作隨後形成的多閘極裝置的通道區域,並且基於裝置性能考慮來選擇厚度。最終可將磊晶層222移除,並且用於為隨後形成的多閘極裝置定義介於相鄰的多個通道區域之間的垂直距離,並且基於裝置性能考慮來選擇厚度。據此,磊晶層222也可稱為犧牲層,並且磊晶層224也稱為通道層。
舉例而言,執行磊晶堆疊220的多個層的磊晶成長可經由分子束磊晶(MBE)製程、金屬有機化學氣相沉積(MOCVD)製程、和/或其他合適的磊晶成長製程。在一些實施方式中,例如磊晶層224的磊晶成長層包括與基板210相同的材料。在一些實施方式中,磊晶成長層222和224包括與基板210不同的材料。如上所述,在至少一些實施例中,磊晶層222包括磊晶成長的矽鍺(SiGe)層,並且磊晶層224包括磊晶成長的矽(Si)層。替代地,在一些實施方式中,磊晶層222和224中的任何一者可包括其他的材料,例如鍺;化合物半導體,例如碳化矽、砷化鎵、磷化銦、砷化銦、和/或銻化銦;合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP、和/或GaInAsP;或其組合。如所討論的內容,磊晶層222和磊晶層224的材料可基於提供不同的氧化和/或蝕刻選擇性特性來選擇。在一些實施方式中,磊晶層222和磊晶 層224基本上不含摻質(亦即,具有從約0cm-3到約1×1018cm-3的外來摻質濃度),其中例如在磊晶成長製程期間不執行有意的摻雜。
參照第22圖,形成從基板210延伸的複數個半導體鰭片230。在各個實施方式中,每個鰭片230包括從基板210所形成的基板部分212、和包括磊晶層222和224的磊晶堆疊的每個磊晶層的多個部分。製造鰭片230可經由合適的製程,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,允許待創建的圖案其具有例如比使用單一的直接光微影製程所獲得的節距更小的節距。例如,在一個實施方式中,在基板上方形成犧牲層,並且使用光微影製程將犧牲層圖案化。使用自對準製程沿著圖案化的犧牲層的側部來形成間隔物。之後移除犧牲層,並且然後可使用剩餘的間隔物或心軸,以經由蝕刻初始磊晶堆疊220來將鰭片230圖案化。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應性離子蝕刻(RIE)、和/或其他合適的製程。
在如第21圖和第22圖所繪示的實施方式中,在將鰭片230圖案化之前,在磊晶堆疊220上方形成硬遮罩(HM)層910。在一些實施方式中,硬遮罩層包括:氧化物層912(例如,可包括SiO2的墊氧化物層)、以及在氧化物層上方所形成的氮化物層914(例如,可包括Si3N4的墊氮化物層)。氧化物層912可作為介於磊晶堆疊220和氮化物層914之間的黏附層,並且可作為用於蝕刻氮化 物層914的蝕刻停止層。在一些實施例中,硬遮罩氧化物層912包括熱成長的氧化物、化學氣相沉積(CVD)所沉積的氧化物、和/或原子層沉積(ALD)所沉積的氧化物。在一些實施方式中,經由化學氣相沉積和/或其他合適的技術,在硬遮罩氧化物層912上沉積硬遮罩氮化物層914。
隨後製造鰭片230可使用合適的製程,包括光微影和蝕刻製程。光微影製程可包括在硬遮罩層910上方形成光阻劑層(未示出),將光阻劑曝光於一圖案,執行曝光後烘烤製程,以及將阻劑顯影以形成包括阻劑的圖案化的遮罩。在一些實施方式中,將阻劑圖案化以形成圖案化的遮罩元件可使用電子束(e-beam)微影製程或極紫外(EUV)微影製程來執行,極紫外線微影製程使用在極紫外光區域中的光其具有例如約1-200奈米的波長。圖案化的遮罩然後可用於保護基板210的多個區域和在其上所形成的多個層,同時蝕刻製程在未受保護的區域中穿過硬遮罩層910、穿過磊晶堆疊220、並進入基板210中而形成溝槽202,從而留下複數個延伸的鰭片230。蝕刻溝槽202可使用乾式蝕刻)例如反應性離子蝕刻)、濕式蝕刻、和/或其組合。也可使用在基板上形成鰭片的方法的許多其他實施方式,包括例如定義鰭片區域(例如,經由遮罩或隔離區域)和磊晶成長鰭片230形式的磊晶堆疊220。
接下來,如在第23圖中所繪示,在多個鰭片230之間形成淺溝槽隔離區域240。關於淺溝槽隔離區域240的材料和製程細節類似於先前所討論的淺溝槽隔離區域 14的材料和製程細節,因此為了簡潔起見,不再重複。
參照第24A圖至第24B圖。虛擬閘極結構250形成在基板210上方並且至少部分地設置在鰭片230上方。在虛擬閘極結構250下方的鰭片230的多個部分可稱為通道區域。虛擬閘極結構250也可定義鰭片230的源極/汲極區域(S/D),例如,與通道區域相鄰且位於通道區域的相對的多個側的鰭片230的多個區域。
虛擬閘極形成步驟首先在鰭片230上方形成虛擬閘極介電層252。隨後,在虛擬閘極介電層252上方形成虛擬閘極電極層254和硬遮罩,硬遮罩可包括多個層256和258(例如,氧化物層256和氮化物層258)。然後,將硬遮罩圖案化,隨後經由使用圖案化的硬遮罩作為蝕刻遮罩來將虛擬閘極電極層254圖案化。在一些實施方式中,在將虛擬閘極電極層254圖案化之後,從鰭片230的源極/汲極區域移除虛擬閘極介電層252。蝕刻製程可包括濕式蝕刻、乾式蝕刻、和/或其組合。選擇蝕刻製程,以選擇性地蝕刻虛擬閘極介電層252,而基本上不蝕刻鰭片230、虛擬閘極電極層254、氧化物遮罩層256、和氮化物遮罩層258。虛擬閘極介電層和虛擬閘極電極層的材料類似於先前所討論的虛擬閘極介電層108和虛擬閘極電極層110的材料,因此為了簡潔起見,不再重複。
在完成了虛擬閘極結構250的形成之後,在虛擬閘極結構250的側壁上形成閘極間隔物260。例如,在基板210上沉積間隔物材料層。間隔物材料層可以是保形層 其隨後被回蝕刻,以形成閘極側壁間隔物。在所繪示的實施方式中,間隔物材料層260共形地設置在虛擬閘極結構250的頂部和側壁上。間隔物材料層260可包括介電質材料,例如矽氧化物、矽氮化物、矽碳化物、矽氧氮化物、SiCN膜、矽氧碳化物、SiOCN膜、和或其組合。在一些實施方式中,間隔物材料層260包括多個層,例如第一間隔物層262、和在第一間隔物層262上方所形成的第二間隔物層264(如在第24B圖中所繪示)。舉例而言,形成間隔物材料層260可經由在虛擬閘極結構250上方沉積介電質材料,使用合適的沉積製程。然後,在沉積的間隔物材料層260上執行各向異性蝕刻製程,以暴露未被虛擬閘極結構250覆蓋的鰭片230的多個部分(例如,在鰭片230的源極/汲極區域中)。在虛擬閘極結構250正上方的間隔物材料層的多個部分可經由這個各向異性蝕刻製程而完全地移除。在虛擬閘極結構250的側壁上的間隔物材料層的多個部分可保留,形成閘極側壁間隔物,為了簡化起見,將其表示為閘極間隔物260。注意的是,儘管在第24B圖的截面視圖中閘極間隔物260是多層結構,但是為了簡化起見,它們在第24A圖的透視圖中被繪示為單層結構。
接下來,如在第25A圖和第25B圖中所繪示,蝕刻橫向地延伸超過閘極間隔物260的半導體鰭片230的多個暴露的部分(例如,在鰭片230的源極/汲極區域中),經由使用例如各向異性蝕刻製程,各向異性蝕刻製程使用虛擬閘極結構250和閘極間隔物260作為蝕刻遮罩,導致了 凹陷處R6其至半導體鰭片230中和介於相應的多個虛擬閘極結構250之間。在各向異性蝕刻之後,由於各向異性蝕刻,犧牲層222和通道層224的端部表面與閘極間隔物260的相應的最外的側壁對準。在一些實施方式中,執行各向異性蝕刻可經由乾式化學蝕刻其利用電漿源和反應氣體。電漿源可以是電感耦合電漿(ICR)源、變壓器耦合電漿(TCP)源、電子回旋共振(ECR)源、或類似者,並且反應氣體可以是例如氟基的氣體(例如SF6、CH2F2、CH3F、CHF3、或類似者)、氯化物基的氣體(例如Cl2)、溴化氫氣體(HBr)、氧氣(O2)、類似者,或其組合。
接下來,在第26A圖和第26B圖中,經由使用合適的蝕刻技術,將犧牲層222橫向地或水平地凹陷化,導致了橫向的凹陷處R7各者垂直地介於相應的多個通道層224之間。執行這個步驟可經由使用選擇性蝕刻製程。作為實施例而非限制,犧牲層222是SiGe,並且通道層224是矽,允許犧牲層222的選擇性蝕刻。在一些實施方式中,選擇性濕式蝕刻包括以比起蝕刻Si較快的蝕刻速率來蝕刻SiGe的APM蝕刻(例如,氫氧化氨-過氧化氫-水混合物)。在一些實施方式中,選擇性蝕刻包括SiGe氧化,然後移除SiGeOx。例如,提供氧化可經由O3清洗,然後經由蝕刻劑(例如NH4OH)來移除SiGeOx,此蝕刻劑以比起蝕刻Si較快的蝕刻速率來選擇性地蝕刻SiGeOx。此外,因為Si的氧化速率比起SiGe的氧化的速率低得多(有時候低於30倍),所以通道層224不會被橫向地凹陷化犧牲 層222的製程而顯著地被蝕刻。結果,通道層224橫向地延伸超過犧牲層222的相對的多個端部表面。
在第27A圖和第27B圖中,形成內部間隔物材料層270,以填充由以上參照第26A圖和第26B圖所討論的犧牲層222的橫向蝕刻留下的凹陷處R7。內部間隔物材料層270可以是低介電常數介電質材料,例如SiO2、SiN、SiCN、或SiOCN,並且可經由合適的沉積方法而形成,例如原子層沉積。在內部間隔物材料層270的沉積之後,可執行各向異性蝕刻製程,以修整沉積的內部間隔物材料層270,使得只有留下沉積的內部間隔物材料層270的多個部分(其填充由犧牲層222的橫向蝕刻所留下的多個凹陷處R7)。在修整製程之後,為了簡化起見,沉積的內部間隔物材料的多個剩餘的部分被表示為內部間隔物270。內部間隔物270用於將金屬閘極與在後續的製程中所形成的源極/汲極磊晶結構隔離。在第27A圖和第27B圖的實施例中,內部間隔物270的最外的側壁基本上與通道層224的側壁對準。
在第28圖中,在半導體鰭片230的源極/汲極區域S/D上方形成源極/汲極磊晶結構280。形成源極/汲極磊晶結構280可經由執行磊晶成長製程,此磊晶成長製程在鰭片230上提供磊晶材料。在磊晶成長製程期間,閘極側壁間隔物260和內部間隔物270將源極/汲極磊晶結構280限制到源極/汲極區域S/D。關於閘極全環場效電晶體的源極/汲極磊晶結構280的材料和製程細節類似於先前 所討論的鰭式場效電晶體的源極/汲極磊晶結構122的材料和製程細節,因此為了簡潔起見,不再重複。
在第29圖中,在基板210上形成層間介電質(ILD)層310。在一些實施方式中,在形成層間介電質層310之前,可選地形成接觸件蝕刻停止層(CESL)。在一些實施例中,在沉積層間介電質層310之後,可執行平坦化製程,以移除層間介電質層310的過量的材料。例如,平坦化製程包括化學機械平坦化(CMP)製程,此製程移除覆蓋虛擬閘極結構250的層間介電質層310(和接觸件蝕刻停止層,如果存在的話)的多個部分,並且平坦化積體電路結構200的頂表面。在一些實施方式中,化學機械平坦化製程也移除硬遮罩層256、258(如在第28圖中所示),並暴露虛擬閘極電極層254。
之後,首先移除虛擬閘極結構250,然後移除犧牲層222。所得的結構如在第30圖中所繪示。在一些實施方式中,移除虛擬閘極結構250經由使用選擇性蝕刻製程(例如,選擇性乾式蝕刻、選擇性濕式蝕刻、或其組合),此選擇性蝕刻製程以比起蝕刻其它材料(例如,閘極側壁間隔物260和/或層間介電質層310)較快的蝕刻速率來蝕刻在虛擬閘極結構250中的材料,因此導致了在介於對應的多個閘極側壁間隔物260之間的閘極溝槽GT2,犧牲層222暴露在閘極溝槽GT2中。隨後,移除在閘極溝槽GT2中的犧牲層222,經由使用以比起蝕刻通道層224較快的蝕刻速率來蝕刻犧牲層222的另一個選擇性蝕刻製程,因 此在介於相鄰的多個通道層224之間形成多個開口O6。以這種方式,多個通道層224變成多個奈米片其懸浮在基板210上方並且介於多個源極/汲極磊晶結構280之間。這個步驟也稱為通道釋放製程。在這個中間製程步驟時,在介於多個奈米片224之間的開口O6可用周圍環境條件(例如,空氣、氮等)來填充。在一些實施方式中,奈米片224可以根據其幾何形狀而互換地稱為奈米線、奈米板、和奈米環。例如,在一些其他的實施方式中,由於用於完全地移除犧牲層222的選擇性蝕刻製程,通道層224可被修整為具有基本上圓形的形狀(亦即,圓柱形)。在那種情況下,所得的通道層224可以稱為奈米線。
在一些實施方式中,移除犧牲層222經由使用選擇性濕式蝕刻製程。在一些實施方式中,犧牲層222是SiGe,並且通道層224是矽,允許犧牲層222的選擇性移除。在一些實施方式中,選擇性濕式蝕刻包括APM蝕刻(例如,氫氧化氨-過氧化氫-水混合物)。在一些實施方式中,選擇性移除包括SiGe氧化,然後移除SiGeOx。例如,提供氧化可經由O3清洗,然後經由蝕刻劑(例如NH4OH)而移除SiGeOx,此蝕刻劑以比起蝕刻Si較快的蝕刻速率來選擇性地蝕刻SiGeOx。此外,因為Si的氧化速率比起SiGe的氧化速率低得多(有時候低於30倍),通道層224可能不會經由通道釋放製程而被顯著地蝕刻。可以注意到,通道釋放步驟和在橫向地凹陷化犧牲層的之前的多個步驟(如在第26A圖和第26B圖中所繪示的步驟) 都使用選擇性蝕刻製程,此製程以比起蝕刻Si較快的蝕刻速率來蝕刻SiGe,並且因此在一些實施方式中,這兩個步驟可使用相同的蝕刻劑化學物質。在這種情況下,通道釋放步驟的蝕刻時間/持續時間比起在橫向地凹陷化犧牲層的之前的多個步驟的蝕刻時間/持續時間更長,從而完全地移除犧牲的SiGe層。
在第31A圖和第31B圖中,替換閘極結構320分別地形成在閘極溝槽GT2中,以圍繞懸浮在閘極溝槽GT2中的每個奈米片224。閘極結構320可以是閘極全環場效電晶體的最終閘極。最終的閘極結構可以是高介電常數/金屬閘極堆疊,然而,其他的組成也是可行的。在一些實施方式中,每個閘極結構320形成了閘極,此閘極與由複數個奈米片224所提供的多個通道相關聯。例如,高介電常數/金屬閘極結構320形成在由奈米片224的釋放所提供的多個開口O6之內(如在第30圖中所繪示)。在各個實施方式中,高介電常數/金屬閘極結構320包括:形成在奈米片224周圍的閘極介電層322、形成在閘極介電層322周圍的功函數金屬層324、以及形成在功函數金屬層324周圍並填充閘極溝槽GT2的剩餘部分的填充金屬層326。閘極介電層322包括界面層(例如,矽氧化物層)、和在界面層上方的高介電常數閘極介電層。本文所使用和所描述的高介電常數閘極介電質包括具有高介電常數的介電質材料,例如,大於熱矽氧化物的介電常數(~3.9)。在高介電常數/金屬閘極結構320之內所使用的功函數金屬 層324和/或填充金屬層326可包括金屬、金屬合金、或金屬矽化物。高介電常數/金屬閘極結構320的形成可包括:多個沉積以形成各種閘極材料、一個或多個襯墊層,以及一或多個化學機械平坦化製程以移除過量的閘極材料。如在第31B圖的沿著高介電常數/金屬閘極結構320的縱軸所截取的截面視圖所繪示,高介電常數/金屬閘極結構320圍繞每個奈米片224,因此稱為閘極全環場效電晶體的閘極。關於閘極全環場效電晶體的閘極結構320的材料和製程細節類似於鰭式場效電晶體的閘極結構130,因此為了簡潔起見,不再重複。
在第32圖中,執行回蝕刻製程,以回刻蝕替換閘極結構320和閘極間隔物260,導致了在經回蝕刻的閘極結構320和經回蝕刻的閘極間隔物260上方的多個凹陷處。在一些實施方式中,因為替換閘極結構320的材料具有與閘極間隔物260不同的蝕刻選擇性,所以替換閘極結構320的頂表面可處於與閘極間隔物260的頂表面不同的水平。例如,在如第32圖所繪示的所描述的實施方式中,替換閘極結構320的頂表面低於閘極間隔物260的頂表面。然而,在一些其他的實施方式中,替換閘極結構320的頂表面可能與閘極間隔物260的頂表面齊平或高於閘極間隔物260的頂表面。
然後,經由合適的製程,例如化學氣相沉積或原子層沉積,可選地分別地在經回蝕刻的替換閘極結構320的頂部形成閘極金屬帽330。作為實施例而非限制,閘極金 屬帽330可以是基本上無氟的鎢(FFW)膜,基本上無氟的鎢膜具有氟污染物的量小於5原子百分比,氯污染物的量大於3原子百分比。關於無氟的鎢形成的製程細節在先前關於閘極金屬帽138進行了討論,因此為了簡潔起見,不再重複。
在第33圖中,在閘極金屬帽330和閘極間隔物260上方形成閘極介電帽340。因為閘極金屬帽330的頂表面低於閘極間隔物260的頂表面,所以每個閘極介電帽340具有階梯狀底表面,其中下部台階接觸閘極金屬帽330的頂表面,並且上部台階接觸閘極間隔物260的頂表面。關於介電帽的材料和製程細節類似於先前所討論的閘極介電帽142的材料和製程細節,因此為了簡潔起見,不再重複。
在第34圖中,形成源極/汲極接觸件350其延伸穿過層間介電質層310。作為實施例而非限制,源極/汲極接觸件350的形成包括:執行一或多個蝕刻製程以形成延伸穿過層間介電質層310的接觸件開口以暴露源極/汲極磊晶結構280,沉積一或多種金屬材料其過度填充接觸件開口,以及然後執行化學機械平坦化製程以移除在接觸件開口之外的過量金屬材料。在一些實施方式中,一或多個蝕刻製程是選擇性蝕刻,其以比起蝕刻閘極介電帽340和閘極間隔物260較快的蝕刻速率來蝕刻層間介電質層310。結果,使用閘極介電帽340和閘極間隔物260作為蝕刻遮罩來執行選擇性蝕刻,使得接觸件開口和因此源極/汲極接 觸件350被形成為與源極/汲極磊晶結構280自對準,而不使用附加的光微影製程。在那樣的情況下,源極/汲極接觸件350可以稱為自對準的接觸件(SAC),並且閘極介電帽340(其允許形成自對準的接觸件350)可以稱為自對準的接觸件帽340。
在第35圖中,經由使用原子層沉積製程、電漿化學氣相沉積製程、和/或其他合適的沉積製程,在閘極介電帽340和源極/汲極接觸件350上方形成抗蝕刻層352。在一些實施方式中,抗蝕刻層352由不同於閘極介電帽340的材料和隨後形成的中間接觸件蝕刻停止層的材料所製成。例如,當閘極介電帽340和隨後形成的中間接觸件蝕刻停止層由相同的材料(例如矽氮化物)所製成時,抗蝕刻層352由氧化物基的材料所製成,例如矽氧化物、四乙基正矽酸鹽氧化物、富矽的矽氧化物、或另一種合適的氧化物基的介電質材料。因為材料差異,抗蝕刻層352具有不同於隨後形成的中間接觸件蝕刻停止層和閘極介電帽340的蝕刻選擇性。結果,在之後的襯墊移除蝕刻製程中,抗蝕刻層352可以具有比起閘極介電帽340和中間接觸件蝕刻停止層都較慢的蝕刻速率,這允許減緩襯墊移除蝕刻製程,這將在下面更詳細地討論。
在一些實施方式中,抗蝕刻層352具有厚度T5。在一些實施方式中,對於3奈米技術節點,厚度T5在從約1埃至約50埃的範圍內。在一些進一步的實施方式中,厚度T5與閘極介電帽340的最大厚度T6的比率在從約 3:100至約60:100的範圍內。如果厚度比率T5/T6太小,則抗蝕刻層352可能太薄而不能減緩隨後的襯墊移除蝕刻製程。如果厚度比率T5/T6過大,則抗蝕刻層352可能太厚而無法在預期的持續時間之內穿透。對於其他技術節點,例如20奈米節點、16奈米節點、10奈米節點、7奈米節點、和/或5奈米節點,抗蝕刻層352的厚度T5可在從約1奈米至約20奈米的範圍內。
在第36圖中,在抗蝕刻層352已經形成在閘極介電帽340上方之後,然後在抗蝕刻層352上方沉積中間接觸件蝕刻停止層360。隨後,在中間接觸件蝕刻停止層360上方沉積另一個層間介電質層370。在一些實施方式中,閘極介電帽340和中間接觸件蝕刻停止層360都是氮化物基的材料(例如,矽氮化物),並且抗蝕刻層352和層間介電質層370都是氧化物基的材料(例如,矽氧化物),因此層間介電質層370和抗蝕刻層352具有與閘極介電帽340和中間接觸件蝕刻停止層360都不同的蝕刻選擇性。在一些實施方式中,中間接觸件蝕刻停止層360具有厚度T7,厚度T7大於抗蝕刻層352的厚度T5。例如,中間接觸件蝕刻停止層360的厚度T7在從約3奈米至約20奈米的範圍內。在一些實施方式中,層間介電質層370具有厚度T8,厚度T8大於中間接觸件蝕刻停止層360的厚度T7和抗蝕刻層352的厚度T5。在一些進一步的實施方式中,層間介電質層370的厚度T8大於中間接觸件蝕刻停止層360和抗蝕刻層352的總厚度。例如,層間介電質 層370的厚度T8在從約3奈米至約100奈米的範圍內。
在第37圖中,經由使用第一蝕刻製程(也稱為接觸件蝕刻製程)ET3,將層間介電質層370圖案化,以形成延伸穿過層間介電質層370的閘極接觸件開口O41和O42。在一些實施方式中,接觸件蝕刻製程ET3是各向異性蝕刻製程,例如電漿蝕刻。關於接觸件蝕刻製程ET3的製程細節類似於先前所討論的接觸件蝕刻製程ET1的製程細節,因此為了簡潔起見,不再重複。
在如第37圖中所繪示的一些實施方式中,在接觸件蝕刻製程ET3中同時地形成第一橫向尺寸(例如,第一最大寬度W41)的閘極接觸件開口O41、和第二橫向尺寸(例如,第二最大寬度W42)的閘極接觸件開口O42。第二最大寬度W42可大於第一最大寬度W41。取決於電路功能和/或設計規則,可有意地形成介於閘極接觸件開口O41和O42之間的寬度差異。替代地,介於閘極接觸件開口O41和O42之間的寬度差異可能由於接觸件蝕刻製程ET3的不準確性而無意中形成,如先前關於閘極接觸件開口O21和O22所討論的。在閘極接觸件開口O41和O42的寬度方面的差異導致了較寬的閘極接觸件開口O42比起較窄的閘極接觸件開口O41較深。
在第38A圖中,執行襯墊移除蝕刻製程ET4,以穿透中間接觸件蝕刻停止層360、抗蝕刻層352、和閘極介電帽340,因此加深閘極接觸件開口O41和O42下向至在閘極結構320上方的閘極金屬帽330。作為襯墊移除 蝕刻製程ET4的結果,在加深的閘極接觸件開口O41和O42的底部處,閘極金屬帽330得到暴露。抗蝕刻層352表現出比起中間接觸件蝕刻停止層360和閘極介電帽340較慢的蝕刻速率,以這樣的方式來選擇襯墊移除蝕刻製程ET4的蝕刻劑和/或蝕刻條件。關於襯墊移除蝕刻製程ET4的製程細節在先前關於襯墊移除蝕刻製程ET2進行了討論,因此為了簡潔起見,這裡不再重複。
因為介於抗蝕刻層352和中間接觸件蝕刻停止層360之間的蝕刻選擇性,當中間接觸件蝕刻停止層360被穿透時,抗蝕刻層352可以減緩襯墊移除蝕刻製程ET4,這繼而將減緩垂直的蝕刻速率和當閘極接觸件開口O41和O42抵達抗蝕刻層352時,在閘極接觸件開口O41和O42中的深度增加。因此,介於較窄的閘極接觸件開口O41和較寬的閘極接觸件開口O42之間的深度差異可以經由抗蝕刻層352來減小。減小的深度負載因此可以防止在較寬的閘極接觸件開口O42中形成虎牙狀圖案,這繼而降低了漏電流(例如,從閘極接觸件到源極/汲極接觸件的漏電流)的風險。此外,因為當閘極接觸件開口O41和O42抵達抗蝕刻層352時,抗蝕刻層352減緩了垂直的蝕刻速率,但不減緩橫向的蝕刻速率,所以在蝕刻抗蝕刻層352期間,襯墊移除蝕刻製程ET4可以橫向地擴展閘極接觸件開口O41和O42的下部分,使得閘極接觸件開口O41和O42的底部寬度可以增加,並且閘極接觸件開口O41和O42可以變得比起在抗蝕刻層352被穿透之前更垂直。
在一些實施方式中,閘極接觸件開口O41和O42的側壁線性地和垂直地延伸穿過層間介電質層370的整個厚度、中間接觸件蝕刻停止層360的整個厚度、抗蝕刻層352的整個厚度、閘極介電帽340的整個厚度,而沒有斜率變化。在如第38B圖中所繪示的一些其他實施方式中,閘極接觸件開口O41和O42的下部分的側壁可變為錐形,因為襯墊移除蝕刻製程ET4可用比起蝕刻抗蝕刻層352較快的垂直的蝕刻速率來蝕刻閘極介電帽340,尤其是當閘極介電帽340由與中間接觸件蝕刻停止層360相同的材料(例如,矽氮化物)所形成時。在這種情況下,閘極接觸件開口O41和O42的側壁在閘極接觸件開口O41和O42的上部分之內比起在閘極接觸件開口O41和O42的下部分之內較垂直(或較陡),並且閘極接觸件開口O41和O42的側壁的斜率變化可位在介於抗蝕刻層352和閘極介電帽340之間的界面處。
在如第38A圖中所繪示的一些實施方式中,較寬的閘極接觸件開口O42可延伸到相鄰的閘極間隔物260中,導致了在閘極間隔物260中的凹口拐角C42。由於接觸件蝕刻製程ET3和/或襯墊移除蝕刻製程ET4的不準確性,凹口拐角C42可能無意中形成。然而,即使在這種情況下,閘極間隔物260也不會被無意地過度蝕刻而形成虎牙狀凹陷處,因為如先前所討論的內容,在穿透抗蝕刻層352的製程期間,減緩了在較寬的閘極接觸件開口O42中的深度增加。因為較寬的閘極接觸件開口O42沒有或具有 可忽略的虎牙狀凹陷處,所以可以降低漏電流(例如,介於源極/汲極接觸件和隨後在閘極接觸件開口O42中所形成的閘極接觸件之間的漏電流)的風險。在閘極間隔物260是雙層結構的一些實施方式中,帶凹口的閘極間隔物260具有階梯狀頂表面結構,其中階梯狀頂表面結構的下部台階是經由襯墊移除蝕刻製程ET4而凹陷化的第一間隔物層262的頂表面,階梯狀頂面結構的上部台階是沒有經由襯墊移除蝕刻製程ET4而凹陷化的第二間隔物層264的頂表面。
在第39A圖中,較窄的閘極接觸件381和較寬的閘極接觸件382分別地形成在較窄的閘極接觸件開口O41和較寬的閘極接觸件開口O42中,以通過閘極金屬帽330而與高介電常數/金屬閘極結構320進行電性連接。關於閘極接觸件381和382的材料和製程細節類似於先前所討論的閘極接觸件151和152,因此為了簡潔起見,不再重複。
在一些實施方式中,閘極接觸件381和382承襲了具有垂直的側壁輪廓且沒有虎牙狀輪廓的閘極接觸件開口O41和O42的幾何形狀,因此閘極接觸件381和382也具有垂直的側壁輪廓且沒有虎牙狀輪廓。更詳細而言,閘極接觸件381和382的側壁線性地和垂直地延伸穿過層間介電質層370的整個厚度、中間接觸件蝕刻停止層360的整個厚度、抗蝕刻層352的整個厚度、和閘極介電帽340的整個厚度,而沒有斜率變化。在如第39B圖中所繪示的一些其他的實施方式中,閘極接觸件381和382的下部分 的側壁可變為錐形,因為襯墊移除蝕刻製程ET4可以用比起蝕刻抗蝕刻層352較快的垂直的蝕刻速率來蝕刻閘極介電帽340,尤其是當閘極介電帽340由與中間接觸件蝕刻停止層360相同的材料(例如,矽氮化物)所形成時。在這種情況下,閘極接觸件381和382的側壁在閘極接觸件381和382的上部分之內比起在閘極接觸件381和382的下部分之內較垂直(或較陡),並且閘極接觸件381和382的側壁的斜率變化可位在介於抗蝕刻層352和閘極介電帽340之間的界面處。
基於以上討論,可以看出,本揭示內容在各個實施方式中提供了多個優點。然而,應當理解,其他的實施方式可提供附加的優點,並非所有的優點都必須在此揭示,並且並非所有的實施方式都需要特定的優點。一個優點是可以減輕閘極接觸件開口的深度負載問題。另一個優點是閘極接觸件開口可以具有較垂直的側壁輪廓。另一個優點是可以減小閘極接觸件電阻,因為與錐形的閘極接觸件相比,具有垂直的側壁輪廓的閘極接觸件的底表面面積可以增加。另一個優點是可以降低漏電流(例如,從閘極接觸件到源極/汲極接觸件的漏電流)的風險。
在一些實施方式中,一種方法包含:在半導體基板上方形成閘極結構;回蝕刻閘極結構;在經回蝕刻的閘極結構上方形成閘極介電帽;在閘極介電帽上方沉積抗蝕刻層;在抗蝕刻層上方沉積接觸件蝕刻停止層,並且在接觸件蝕刻停止層上方沉積層間介電質(ILD)層;執行第一蝕 刻製程,以形成閘極接觸件開口其延伸穿過層間介電質層並且在抵達抗蝕刻層之前終止;執行第二蝕刻製程以加深閘極接觸件開口,其中第二蝕刻製程以比起蝕刻接觸件蝕刻停止層較慢的蝕刻速率來蝕刻抗蝕刻層;以及在加深的閘極接觸件開口中形成閘極接觸件。在一些實施方式中,第二蝕刻製程以比起蝕刻抗蝕刻層較快的蝕刻速率來蝕刻閘極介電帽。在一些實施方式中,閘極介電帽由與接觸件蝕刻停止層相同的材料所形成。在一些實施方式中,閘極介電帽和接觸件蝕刻停止層是氮化物基的。在一些實施方式中,抗蝕刻層是氧化物基的。在一些實施方式中,抗蝕刻層具有一厚度其小於接觸件蝕刻停止層的厚度。在一些實施方式中,抗蝕刻層具有一厚度其小於閘極介電帽的最大厚度。在一些實施方式中,抗蝕刻層具有一厚度其在從約1埃至約50埃的範圍內。在一些實施方式中,沉積抗蝕刻層使用原子層沉積(ALD)或電漿促進化學氣相沉積(PECVD)。在一些實施方式中,第一蝕刻製程是電漿蝕刻製程,使用從無氫的氣體混合物所產生的電漿。在一些實施方式中,第二蝕刻製程是電漿蝕刻製程,使用從含氫的氣體混合物所產生的電漿。在一些實施方式中,含氫的氣體混合物是含氟的氣體和氫氣的混合物。在一些實施方式中,含氟的氣體是CHF3氣體、CF4氣體、CxHyFz氣體、或其組合,其中x、y和z大於零。
在一些實施方式,一種方法包含:在第一閘極結構上方形成第一閘極介電帽,並且在第二閘極結構上方形成 第二閘極介電帽;在第一閘極介電帽和第二閘極介電帽上方沉積抗蝕刻層;在抗蝕刻層上方沉積接觸件蝕刻停止層,並且在接觸件蝕刻停止層上方沉積層間介電質(ILD)層;執行第一蝕刻製程以形成延伸穿過層間介電質層的第一閘極接觸件開口和第二閘極接觸件開口,其中第一閘極接觸件開口的寬度小於第二閘極接觸件開口的寬度;執行第二蝕刻製程,以朝向第一和第二閘極結構而延伸第一和第二閘極接觸件開口,其中在第二蝕刻製程蝕刻穿過抗蝕刻層之後,第一閘極接觸件開口的側壁輪廓變得比起在蝕刻抗蝕刻層之前較垂直;以及在執行第二蝕刻製程之後,在第一閘極接觸件開口中形成第一閘極接觸件,和在第二閘極接觸件開口中形成第二閘極接觸件。在一些實施方式中,第一蝕刻製程導致第一閘極接觸件開口具有比第二閘極接觸件開口較小的深度。在一些實施方式中,在第二蝕刻製程蝕刻穿過抗蝕刻層之後,介於第一和第二閘極接觸件開口之間的深度差異變得小於在執行第二蝕刻製程之前的深度差異。在一些實施方式中,第二蝕刻製程使用具有氫氣的氣體混合物,並且第一蝕刻製程不使用氫氣。
在一些實施方式中,一種裝置包含:在基板上方的多個源極/汲極磊晶結構;分別地在這些源極/汲極磊晶結構上方的多個源極/汲極接觸件;在橫向地介於這些源極/汲極接觸件之間的閘極結構;在閘極結構上方的閘極介電帽,並且閘極介電帽具有一底表面其低於源極/汲極接觸件的頂表面;在閘極介電帽上方的氧化物基的抗蝕刻層;在 氧化物基的抗蝕刻層上方的氮化物基的蝕刻停止層;在氮化物基的蝕刻停止層上方的層間介電質(ILD)層;以及閘極接觸件其延伸穿過層間介電質層、氮化物基的蝕刻停止層、氧化物基的抗蝕刻層、和閘極介電帽,以與閘極結構電性連接。在一些實施方式中,氧化物基的抗蝕刻層比氮化物基的蝕刻停止層薄。在一些實施方式中,氧化物基的抗蝕刻層比閘極介電帽薄。
以上概述了數個實施方式的多個特徵,以便本領域技術人員可較佳地理解本揭示內容的多個態樣。本領域的技術人員應理解,他們可能容易地使用本揭示內容,作為其他製程和結構之設計和修改的基礎,以實現與在此介紹的實施方式的相同的目的,和/或達到相同的優點。本領域技術人員亦應理解,與這些均等的建構不脫離本揭示內容的精神和範圍,並且他們可進行各種改變、替換、和變更,而不脫離本揭示內容的精神和範圍。
100:積體電路結構
104:鰭片
116:閘極間隔物(閘極側壁間隔物)
118:第一間隔物層(間隔物層)
12:基板
120:第二間隔物層
122:源極/汲極結構(磊晶結構)
130:替換閘極結構(閘極結構)
132:閘極介電層
134:功函數金屬層
136:填充金屬層
138:金屬帽(閘極金屬帽)
142:閘極介電帽(接觸件帽)
144:源極/汲極接觸件
145:抗蝕刻層
146:中間接觸件蝕刻停止層
148:層間介電質層
151:閘極接觸件
152:閘極接觸件

Claims (10)

  1. 一種製造半導體裝置的方法,該方法包含:在一半導體基板上方形成一閘極結構;回蝕刻該閘極結構;在經回蝕刻的該閘極結構上方形成一閘極介電帽;在該閘極介電帽上方沉積一抗蝕刻層;在該抗蝕刻層上方沉積一接觸件蝕刻停止層、和在該接觸件蝕刻停止層上方沉積一層間介電質層,其中在該閘極結構上方該閘極介電帽與該接觸件蝕刻停止層經由該抗蝕刻層而分隔;執行一第一蝕刻製程,以形成一閘極接觸件開口其延伸穿過該層間介電質層並且在抵達該抗蝕刻層之前終止;執行一第二蝕刻製程,以加深該閘極接觸件開口,其中該第二蝕刻製程以比起蝕刻該接觸件蝕刻停止層較慢的蝕刻速率來蝕刻該抗蝕刻層;以及在加深的該閘極接觸件開口中形成一閘極接觸件。
  2. 如請求項1所述之製造半導體裝置的方法,其中該第二蝕刻製程以比起蝕刻該抗蝕刻層較快的蝕刻速率來蝕刻該閘極介電帽。
  3. 如請求項1所述之製造半導體裝置的方法,其中該閘極介電帽由與該接觸件蝕刻停止層相同的材料所形成。
  4. 如請求項1所述之製造半導體裝置的方法,其中該抗蝕刻層具有一厚度其小於該接觸件蝕刻停止層的一厚度。
  5. 如請求項1所述之製造半導體裝置的方法,其中該抗蝕刻層具有一厚度其小於該閘極介電帽的一最大厚度。
  6. 如請求項1所述之製造半導體裝置的方法,其中該第一蝕刻製程是一電漿蝕刻製程其使用從無氫的氣體混合物所產生的電漿。
  7. 一種製造半導體裝置的方法,該方法包含:在一第一閘極結構上方形成一第一閘極介電帽、和在一第二閘極結構上方形成一第二閘極介電帽;在該第一閘極介電帽和該第二閘極介電帽上方沉積一抗蝕刻層;在該抗蝕刻層上方沉積一接觸件蝕刻停止層,和在該接觸件蝕刻停止層上方沉積一層間介電質層;執行一第一蝕刻製程,以形成一第一閘極接觸件開口和一第二閘極接觸件開口其延伸穿過該層間介電質層,其中該第一閘極接觸件開口具有比起該第二閘極接觸件開口較小的寬度; 執行一第二蝕刻製程,以朝向該第一和該第二閘極結構而延伸該第一和該第二閘極接觸件開口,其中在該第二蝕刻製程蝕刻穿過該抗蝕刻層之後,該第一閘極接觸件開口的一側壁輪廓變得比在蝕刻該抗蝕刻層之前較垂直;以及在執行該第二蝕刻製程之後,在該第一閘極接觸件開口中形成一第一閘極接觸件並且在該第二閘極接觸件開口中形成一第二閘極接觸件。
  8. 如請求項7所述之製造半導體裝置的方法,其中該第一蝕刻製程導致該第一閘極接觸件開口具有比起該第二閘極接觸件開口較小深度。
  9. 一種半導體裝置,包含:多個源極/汲極磊晶結構,在一基板上方;多個源極/汲極接觸件,分別地在該些源極/汲極磊晶結構上方;一閘極結構,橫向地介於該些源極/汲極接觸件之間;一閘極介電帽,在該閘極結構上方並且具有一底表面其低於該些源極/汲極接觸件的多個頂表面;一氧化物基的抗蝕刻層,在該閘極介電帽上方;一氮化物基的蝕刻停止層,在該氧化物基的抗蝕刻層上方,其中在該閘極結構上方該閘極介電帽與該氮化物基的蝕刻停止層經由該氧化物基的抗蝕刻層而分隔;一層間介電質層,在該氮化物基的蝕刻停止層上方;以 及一閘極接觸件,延伸穿過該層間介電質層、該氮化物基的蝕刻停止層、該氧化物基的抗蝕刻層、和該閘極介電帽,以與該閘極結構電性連接。
  10. 如請求項9所述之半導體裝置,其中該氧化物基的抗蝕刻層比該氮化物基的蝕刻停止層薄。
TW110128084A 2020-09-29 2021-07-30 製造半導體裝置的方法和半導體裝置 TWI806113B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063085002P 2020-09-29 2020-09-29
US63/085,002 2020-09-29
US17/227,098 US11705491B2 (en) 2020-09-29 2021-04-09 Etch profile control of gate contact opening
US17/227,098 2021-04-09

Publications (2)

Publication Number Publication Date
TW202213539A TW202213539A (zh) 2022-04-01
TWI806113B true TWI806113B (zh) 2023-06-21

Family

ID=79327443

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110128084A TWI806113B (zh) 2020-09-29 2021-07-30 製造半導體裝置的方法和半導體裝置

Country Status (5)

Country Link
US (2) US11705491B2 (zh)
KR (1) KR20220043843A (zh)
CN (1) CN113948466A (zh)
DE (1) DE102021110442A1 (zh)
TW (1) TWI806113B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11942371B2 (en) * 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening
KR20240045830A (ko) 2022-09-30 2024-04-08 주식회사 슈프리마에이아이 피인식 대상자의 특성 정보를 예측하는 방법 및 장치, 피인식 대상자의 특성 정보를 예측하는 신경망을 학습하는 방법 및 장치

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW486733B (en) * 1999-12-28 2002-05-11 Toshiba Corp Dry etching method and manufacturing method of semiconductor device for realizing high selective etching
JP5277628B2 (ja) * 2007-12-21 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9831090B2 (en) * 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9633999B1 (en) * 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10957779B2 (en) 2017-11-30 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Gate etch back with reduced loading effect
US10770459B2 (en) * 2018-03-23 2020-09-08 Sandisk Technologies Llc CMOS devices containing asymmetric contact via structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Also Published As

Publication number Publication date
TW202213539A (zh) 2022-04-01
US20220102507A1 (en) 2022-03-31
US20230326978A1 (en) 2023-10-12
CN113948466A (zh) 2022-01-18
DE102021110442A1 (de) 2022-03-31
KR20220043843A (ko) 2022-04-05
US11705491B2 (en) 2023-07-18

Similar Documents

Publication Publication Date Title
US20230326978A1 (en) Etch profile control of gate contact opening
US20230187270A1 (en) Etch profile control of gate contact opening
US20230361185A1 (en) Etch profile control of via opening
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
US20230298934A1 (en) Etch profile control of gate contact opening
US11967526B2 (en) Integrated circuit structure and manufacturing method thereof
US11942556B2 (en) Semiconductor device and manufacturing method thereof
US11942371B2 (en) Etch profile control of via opening
US11588030B2 (en) Integrated circuit structure and manufacturing method thereof