TW202127663A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202127663A
TW202127663A TW109121981A TW109121981A TW202127663A TW 202127663 A TW202127663 A TW 202127663A TW 109121981 A TW109121981 A TW 109121981A TW 109121981 A TW109121981 A TW 109121981A TW 202127663 A TW202127663 A TW 202127663A
Authority
TW
Taiwan
Prior art keywords
layer
gate
epitaxial
inner spacer
channel
Prior art date
Application number
TW109121981A
Other languages
English (en)
Inventor
王培勳
江國誠
張羅衡
張榮宏
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202127663A publication Critical patent/TW202127663A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明實施例的半導體裝置包括多個第一全繞式閘極裝置位於第一裝置區中,以及多個第二全繞式閘極裝置位於第二裝置區中。第一全繞式閘極裝置的每一者包括多個通道部件的第一垂直堆疊;第一閘極結構,圍繞通道部件的第一垂直堆疊並位於其上;以及多個內側間隔物結構。第二全繞式閘極裝置的每一者包括多個通道部件的第二垂直堆疊;以及第二閘極結構,圍繞通道部件的第二垂直堆疊並位於其上。通道部件的第一垂直堆疊之兩個相鄰的通道部件之間,隔有第一閘極結構的一部分與內側間隔物結構的至少一者。通道部件的第二垂直堆疊之兩個相鄰的通道部件之間,只隔有第二閘極結構的一部分。

Description

半導體裝置
本發明實施例關於多閘極電晶體與製作方法,更特別關於在製作全繞式閘極電晶體時形成內側間隔物於半導體裝置的不同裝置區中。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(如製作製程所能產生的最小構件或線路)縮小而增加。尺寸縮小通常有利於增加產能與降低相關成本。尺寸縮小亦增加處理與形成積體電路的複雜度。
舉例來說,積體電路技術朝更小的技術節點進展,並導入多閘極裝置以增加閘極-通道耦合、降低關閉狀態的電流、並減少短通道效應,進而改善閘極控制。多閘極裝置通常指的是閘極結構或其部分位於通道區的多側上之裝置。鰭狀場效電晶體與全繞式閘極電晶體(均視作非平面電晶體)為多閘極裝置的例子,其變得更普及且為高效能與低漏電流應用的有力候選。鰭狀場效電晶體具有閘極包覆超過一側的隆起通道,比如閘極包覆自基板延伸的半導體材料之鰭狀物的頂部與側壁。與平面電晶體相較,此設置可更佳地控制通道並大幅降低短通道效應。具體而言,可減少次臨界漏電流(如關閉狀態的鰭狀場效電晶體之源極與汲極之間的耦合),以降低短通道效應。全繞式閘極電晶體的閘極結構可部分或完全延伸於通道區周圍,以由兩側或更多側連接至通道區。全繞式閘極電晶體的通道區可由奈米線、奈米片、其他奈米結構、及/或其他合適結構所形成。在一些實施方式中,這些通道區包括多個垂直堆疊的奈米結構(水平延伸,以提供水平方向的通道)。這些全繞式閘極電晶體可視作垂直堆疊的水平全繞式閘極電晶體。
在全繞式閘極裝置中,內側間隔物用於降低閘極結構與源極/汲極結構之間的電容以及漏電流。雖然習知的據有內側間隔物之全繞式閘極裝置一般適用於其發展目的,但無法滿足所有方面的需求。
本發明一例示性的實施例關於半導體裝置。半導體裝置包括多個第一全繞式閘極裝置位於第一裝置區中,以及多個第二全繞式閘極裝置位於第二裝置區中。第一全繞式閘極裝置的每一者包括多個通道部件的第一垂直堆疊;第一閘極結構,圍繞通道部件的第一垂直堆疊並位於其上;以及多個內側間隔物結構。第二全繞式閘極裝置的每一者包括多個通道部件的第二垂直堆疊;以及第二閘極結構,圍繞通道部件的第二垂直堆疊並位於其上。通道部件的第一垂直堆疊之兩個相鄰的通道部件之間,隔有第一閘極結構的一部分與內側間隔物結構的至少一者。通道部件的第二垂直堆疊之兩個相鄰的通道部件之間,只隔有第二閘極結構的一部分。
本發明另一例示性的實施例關於半導體裝置。半導體裝置包括:第一全繞式閘極裝置與第二全繞式閘極裝置。第一全繞式閘極裝置包括:第一通道部件,位於第一全繞式閘極裝置的通道區中;第一閘極結構,包覆第一通道部件並位於其上;以及內側間隔物結構。第二全繞式閘極裝置包括:第二通道部件,位於第二全繞式閘極裝置的通道區中;以及第二閘極結構,包覆第二通道部件並位於其上。兩個相鄰的第一通道部件之間隔有第一閘極結構的一部分與至少一內側間隔物結構,且兩個相鄰的第二通道部件之間只隔有第二閘極結構的一部分。
本發明又一例示性的實施例關於半導體裝置的製作方法。半導體裝置的製作方法包括:形成層狀物堆疊於基板上,且層狀物堆疊包括交錯的多個第一半導體層與多個第二半導體層;自基板的第一區中的層狀物堆疊形成第一鰭狀單元;自基板的第二區中的層狀物堆疊形成第二鰭狀單元;蝕刻第一源極/汲極溝槽,以露出第一鰭狀單元中的第一半導體層與第二半導體層的側壁,並以圖案膜遮罩第二鰭狀單元;選擇性地使第一鰭狀單元中的第二半導體層凹陷以形成內側間隔物凹陷,並以圖案膜遮罩第二鰭狀單元;沉積內側間隔物層於內側間隔物凹陷之中與第二鰭狀單元上的圖案膜之上;蝕刻第二源極/汲極溝槽,以露出第二鰭狀單元中的第一半導體層與第二半導體層的側壁;以及同時形成第一磊晶的源極/汲極結構於第一源極/汲極溝槽中,以及第二磊晶的源極/汲極結構於第二源極/汲極溝槽中。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間(即結構未接觸另一結構)。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5 nm」包含的尺寸範圍介於4.5 nm至5.5 nm之間。
本發明實施例關於多閘極電晶體與製作方法,更特別關於在製作全繞式閘極電晶體時形成內側間隔物於半導體裝置的不同裝置區中。
多閘極電晶體的閘極結構形成於通道區的至少兩側上。多閘極裝置可包含p型金氧半裝置或n型金氧半裝置。多閘極電晶體的例子包括鰭狀場效電晶體(由於其鰭狀結構)以及全繞式閘極裝置。全繞式閘極裝置包括閘極結構或其部分形成於通道區的四側上(比如圍繞通道區的一部分)的任何裝置。本發明實施例的通道區可位於奈米線通道區、棒狀通道、奈米片通道、奈米結構通道、柱狀通道、及/或其他合適的通道設置中。本發明實施例的裝置可具有與單一的連續閘極結構相關的一或多個通道區(如奈米線、奈米片、奈米結構)。然而本技術領域中具有通常知識者應理解本發明實施例中的技術可用於單一通道(如單一奈米線、單一奈米片、或單一奈米結構),或者任何數目的通道。本技術領域中具有通常知識者應理解,半導體裝置的其他例子可得益於本發明實施例。
隨著鰭狀場效電晶體中的鰭狀物寬度尺寸縮小,通道寬度變化可能會造成不想要的變化與遷移率損失。研究全繞式閘極電晶體以取代鰭狀場效電晶體。在全繞式閘極電晶體中,電晶體的閘極完全圍繞通道以包覆通道。此電晶體的優點為以閘極改善通道的靜電控制,其亦可緩解漏電流的問題。全繞式閘極電晶體包括多種間隔物,比如內側間隔物與閘極間隔物(又稱作外側間隔物、頂間隔物、或主要間隔物)。內側間隔物用於降低電容並避免閘極結構與源極/汲極結構之間的漏電流。全繞式閘極電晶體中的內側間隔物整合亦面對挑戰。舉例來說,定義鰭狀物主動區的源極/汲極溝槽之鰭狀物側壁(其含有多個奈米結構),已用於避免相鄰的磊晶的源極/汲極結構合併,特別在鰭狀物主動區的寬度與空間縮小時。當鰭狀主動區的寬度縮小至特定等級,比如小於約16 nm 或約14 nm,形成內側間隔物的製程可能會造成內側間隔物材料沉積至源極/汲極溝槽中。在將沉積於內側間隔物凹陷中的源極/汲極溝槽中的內側間隔物材料移除時,可能難以避免損傷其他結構。源極/汲極溝槽中未移除的內側間隔物材料,可阻礙或甚至避免形成磊晶的源極/汲極結構於源極/汲極溝槽中,造成有缺陷的磊晶的源極/汲極結構。本發明實施例提供選擇性內側間隔物的實施方式,其中內側間隔物實施在含有第一鰭狀物寬度的裝置之第一裝置區中,但不實施於含有第二鰭狀物寬度的裝置之第二裝置區中,且第二鰭狀物寬度小於第一鰭狀物寬度。在一些應用中,第一裝置區適用於邏輯裝置,而第二裝置區適用於記憶體裝置如靜態隨機存取記憶體裝置。
圖1A至1C係形成半導體裝置的方法100,且半導體裝置具有多閘極裝置的多個裝置區。此處所述的用語「多閘極裝置」指的是具有至少一些閘極材料於裝置的至少一通道的多側上的裝置(如半導體裝置)。在一些例子中,多閘極裝置可視作全繞式閘極裝置,其具有閘極材料於裝置的至少一通道的至少四側上。通道區可視作奈米線、奈米片、奈米結構、通道部件、或半導體通道部件,其可包含多種幾何形狀(比如圓柱狀、棒狀、或片狀)與多種尺寸的通道區。
如此處所述的例示性裝置與其他方法的實施例,應理解圖2A至20A與圖2B至20B所示的工件200的部分之製作方法可為互補式金氧半技術製程流程,因此此處只簡述一些製程。完成製作製程後,可製作工件200至半導體裝置中。在此意義下,用語工件200與半導體裝置可交換使用。此外,例示性的半導體裝置可包含多種其他裝置與結構,比如其他型態的裝置(包括額外電晶體、雙極性接面電晶體、電阻、電容、電感、二極體、熔絲、靜態隨機存取記憶體、及/或其他邏輯單元、或類似物),但簡化半導體裝置的說明以利理解本發明實施例的發明概念。在一些實施例中,例示性裝置包括多個半導體裝置(如電晶體),比如n型全繞式閘極電晶體、p型全繞式閘極電晶體、p型場效電晶體、n型場效電晶體、或類似物,且其可內連線。此外,值得注意的是,方法100的製程步驟包含搭配圖2A至19B的任何說 明,但應理解此處提供的方法與例示性圖式僅用以舉例而非限制本發明實施例至申請專利範圍未實際記載處。
圖式末尾為A如圖2A至20A,顯示工件200 (或半導體裝置)的第一裝置區的部分剖視圖。圖式末尾為B如圖2B至20B,顯示工件200的第二裝置區的部分剖視圖。如下所述,第一裝置區與第二裝置區中的全繞式閘極電晶體可具有不同結構、可由不同的形成製程所形成、及/或具有不同應用。在圖2A至20A的每一圖中,沿著X方向的至少一剖視圖位於左側,而沿著Y方向的剖視圖位於右側。在圖2B至20B的每一圖中,沿著X方向的至少一剖視圖位於左側,而沿著Y方向的剖視圖位於右側。
如圖1A、2A、與2B所示,方法100的步驟102形成第一鰭狀單元210A於第一裝置區1000A中,並形成第二鰭狀單元210B於第二裝置區1000B中。第一裝置區1000A與第二裝置區1000B均位於工件200中。工件200包括基板202。在一些實施例中,基板202可為半導體基板如矽基板。基板202可包含多種層狀物,比如形成於半導體基板上的導電或絕緣層。基板202可包含多種摻雜設置,端視本技術領域已知的設計需求而定。舉例來說,可形成不同的摻雜輪廓(如n型井或p型井)於基板202上的區域中,且區域設計為用於不同裝置型態(比如n型全繞式閘極電晶體或p型全繞式閘極電晶體)。合適摻雜可包含離子佈植摻質及/或擴散製程。基板202可具有隔離結構夾設於區域之間,且區域提供不同的裝置型態。基板202亦可包含其他半導體如鍺、碳化矽、矽鍺、或鑽石。在其他實施例中,基板202可包含半導體化合物及/或半導體合金。此外,基板202可視情況包含磊晶層,可具有應力以增進效能、可包含絕緣層上矽結構、及/或可具有其他合適的增進結構。在方法100的一實施例中,可進行反擊穿佈植。可在裝置通道區下的區域進行反擊穿佈植,以避免擊穿或產生不想要的擴散。
第一鰭狀單元210A與第二鰭狀單元210B實質上自基板202上的磊晶堆疊204形成。在一些實施例中,形成於基板202上的磊晶堆疊204包括第一半導體組成的磊晶層206,以及夾設於磊晶層206之間的第二半導體組成的磊晶層208。磊晶堆疊204亦可視作層狀物的堆疊。第一半導體組成與第二半導體組成可不同。在一實施例中,磊晶層206為矽鍺,而磊晶層208為矽。然而其他實施例亦屬可能,比如第一組成與第二組成具有不同的氧化速率及/或蝕刻選擇性。在一些實施例中,磊晶層206包括矽鍺,而磊晶層208包括矽。
值得注意的是,圖2A與2B顯示五個磊晶層206與四個磊晶層208交錯配置,但此僅用於說明目的而非侷限本發明實施例至申請專利範圍未實際記載處。應理解的是,可形成任何數目的磊晶層於磊晶堆疊204中。層狀物數目取決於用於工件200的通道所需的數目。在一些實施例中,磊晶層208的數目介於2至10之間。
在一些實施例中,每一磊晶層206的厚度為2 nm至約6 nm,比如特定例子中的3 nm。磊晶層206可具有實質上一致的厚度。在一些實施例中,每一磊晶層208的厚度為約6 nm至約12 nm,比如特定例子中的9 nm。在一些實施例中,磊晶堆疊204的磊晶層208具有實質上一致的厚度。如下詳述,磊晶層208或其部分可作為後續形成的多閘極裝置之通道部件,其厚度選擇取決於裝置效能考量。通道區中的磊晶層206最後會被移除,且用於定義相鄰的通道區(用於後續形成的多閘極裝置)之間的垂直距離,且磊晶層206的厚度取決於裝置效能考量。綜上所述,磊晶層206亦可視作犧牲層,而磊晶層208亦可視作通道層。
舉例來說,磊晶成長磊晶堆疊204的層狀物的方法可為分子束磊晶製程、有機金屬化學氣相沉積製程、及/或其他合適的磊晶成長製程。在一些實施例中,磊晶成長的層狀物(如磊晶層208)與基板202包括相同材料。在一些實施例中,磊晶層206與208的材料與基板202的材料不同。如上所述,在至少一些例子中,磊晶層206包括磊晶成長的矽鍺層,而磊晶層208包括磊晶成長的矽層。在其他實施例中,磊晶層206與208可包含其他材料如鍺、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。如上所述,磊晶層206與208的材料選擇依據為提供不同的氧化特性與蝕刻選擇性。在一些實施例中,磊晶層206與208為實質上無摻質(比如非固有的摻質濃度為約0 cm-3 至約1x1017 cm-3 )。舉例來說,在磊晶成長製程時不刻意進行摻雜。
在步驟102中,圖案化基板202上的磊晶堆疊204,以形成第一鰭狀單元210A於第一裝置區1000A中,並形成第二鰭狀單元210B於第二裝置區1000B中。第一鰭狀單元210A與第二鰭狀單元210B自基板202延伸。在一些實施例中,圖案化步驟亦蝕刻至基板202中,使第一鰭狀單元210A與第二鰭狀單元210B的每一者包括自基板202形成的下側部分與自磊晶堆疊204形成的上側部分。上側部分包括含有磊晶層206與208之磊晶堆疊204的每一磊晶層。第一鰭狀單元210A與第二鰭狀單元210B的製作方法可採用合適製程,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。可採用自對準製程,沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,而保留的間隔物(或芯)之後可用於蝕刻磊晶堆疊204以圖案化第一鰭狀單元210A與第二鰭狀單元210B。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。
在圖2A與2B所示的一些實施例中,形成於第一裝置區1000A與第二裝置區1000B中的裝置具有不同用途。在一些實施方式中,第一裝置區1000A用於較快開關速度與較大驅動電流的應用如邏輯裝置。第二裝置區1000B用於較高封裝密度的應用如記憶體裝置。邏輯裝置的例子包括反相器、NAND、NOR、AND、OR、或觸發裝置。記憶體裝置的例子包括靜態隨機存取記憶體、動態隨機存取記憶體、或快閃裝置。為了符合這些應用,第一裝置區1000A中的每一第一鰭狀單元210A之第一寬度W1介於約14 nm至約30 nm之間(比如介於16 nm至30 nm之間),且第二裝置區1000B中的每一第二鰭狀單元210B之第二寬度W2介於約6 nm至約16 nm之間(比如介於6 nm至14 nm之間)。如上所述,開關速度是第一裝置區1000A中裝置的重要特性,且不希望因源極/汲極與閘極之間的寄生電容造成延遲。然而第二裝置區1000B中裝置的關鍵需求並非速度,且源極/汲極與閘極之間的寄生電容所造成的延遲問題較小。形成內側間隔物為降低寄生電容的技術之一。然而當鰭狀物寬度縮小至介於約14 nm至約16 nm之間或更小時,內側間隔物材料可能沉積於源極/汲極溝槽中,且在不損傷其他結構的情況下無法完全移除內側間隔物材料。本發明實施例的特徵之一,係省略第二裝置區1000B中的內側間隔物(若此省略可減少第二裝置區1000B中的裝置缺陷並改善裝置可信度)。舉例來說,若第二裝置區1000B中裝置的鰭狀物寬度小於臨界值,則省略形成內側間隔物於密集排列的第二裝置區1000B中的步驟,因為內側間隔物的壞處多於好處。臨界值取決於多種製程條件與設計性質。臨界值的一例介於約14 nm至約16 nm之間。
如圖2A與2B所示,在形成第一鰭狀單元210A與第二鰭狀單元210B之後,形成隔離結構於相鄰的第一鰭狀單元210A之間以及相鄰的第二鰭狀單元210B之間。隔離結構亦可視作淺溝槽隔離結構212。舉例來說,一些實施例先沉積介電層於基板202上,以將介電材料填入鰭狀單元(如第一鰭狀單元210A與第二鰭狀單元210B)之間的溝槽。在一些實施例中,介電層可包括氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或其他合適材料。在多種例子中,介電層的沉積方法可為化學氣相沉積製程、次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、及/或其他合適製程。接著薄化與平坦化沉積的介電材料,比如進行化學機械研磨製程。接著進一步使平坦化的介電層凹陷以形成淺溝槽隔離結構212,且凹陷方法可為乾蝕刻製程、濕蝕刻製程、及/或上述之組合。第一鰭狀單元210A與第二鰭狀單元210B隆起高於淺溝槽隔離結構212。在一些實施例中,介電層(與後續形成的淺溝槽隔離結構212)可包含多層結構,比如具有一或多個襯墊層。
在一些實施例中,方法100的步驟102亦可形成介電鰭狀物(未圖示)。在形成介電鰭狀物的製程流程中,在淺溝槽隔離結構212中形成狹縫,其延伸方向平行於第一鰭狀單元210A,接著沉積介電鰭狀物材料至狹縫中。介電鰭狀物材料與形成淺溝槽隔離結構212的介電材料不同。這可選擇性地蝕刻淺溝槽隔離結構212所用的介電層,並保留隆起高於淺溝槽隔離結構212的介電鰭狀物。在一些實施例中,介電鰭狀物材料可包括氮化矽、碳氮化矽、碳化矽、氧化鋁、氧化鋯、或其他合適材料。在採用介電鰭狀物的實施例中,介電鰭狀物夾設於第一鰭狀單元210A之間,或夾設於第二鰭狀單元210B之間,以分開相鄰裝置的源極/汲極結構。介電鰭狀物亦可視作虛置鰭狀物或混合鰭狀物。在一些其他實施例中,在閘極切割製程時可移除介電鰭狀物的上側部分,並置換為反相材料結構(其可與介電鰭狀物類似或不同)。一旦形成介電鰭狀物,其可限制磊晶的源極/汲極結構形成,並避免相鄰的磊晶的源極/汲極結構之間產生不想要的合併。
如圖1A、2A、與2B所示,方法100的步驟104形成第一虛置閘極堆疊222A於第一鰭狀單元210A的通道區400上,並形成第二虛置閘極堆疊222B於第二鰭狀單元210B的通道區400上。為簡化標示,第一鰭狀單元210A與第二鰭狀單元210B可一起視作鰭狀單元210。類似地,第一虛置閘極堆疊222A與第二虛置閘極堆疊222B可一起視作虛置閘極堆疊222。在一些實施例中,採用閘極置換製程(或閘極後製製程),其中第一虛置閘極堆疊222A與第二虛置閘極堆疊222B作為高介電常數的介電層與金屬閘極的堆疊所用的占位物,之後可移除並置換為高介電常數的介電層與金屬閘極的堆疊。其他製程與設置亦屬可能。在一些實施例中,第一虛置閘極堆疊222A形成於基板202上且至少部分地位於第一鰭狀單元210A上,而第二虛置閘極堆疊222B形成於基板202上且至少部分地位於第二鰭狀單元210B上。第一鰭狀單元210A位於第一虛置閘極堆疊222A之下的部分,為第一鰭狀單元210A的通道區400。類似地,第二鰭狀單元210B位於第二虛置閘極堆疊222B之下的部分,為第二鰭狀單元210B的通道區400。第一虛置閘極堆疊222A與第二虛置閘極堆疊222B亦可定義與通道區400相鄰並位於通道區400兩側上的源極/汲極區500。
在所述實施例中,步驟104先形成虛置介電層224於鰭狀單元210 (包括第一鰭狀單元210A與第二鰭狀單元210B)上。在一些實施例中,虛置介電層224可包含氧化矽、氮化矽、高介電常數的介電材料、及/或其他合適材料。在多種例子中,虛置介電層224的沉積方法可為化學氣相沉積製程、次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他合適製程。舉例來說,虛置介電層224可用於避免後續製程(比如形成虛置閘極堆疊的製程)損傷鰭狀單元210。步驟104接著形成虛置閘極堆疊222的其他部分,包括虛置電極層226與硬遮罩228 (其可包含氧化物層230與氮化物層232)。在一些實施例中,虛置閘極堆疊222的形成方法可為多種製程步驟,比如沉積層狀物、圖案化、與蝕刻,以及其他合適製程步驟。沉積層狀物的例示性製程包括低壓化學氣相沉積、化學氣相沉積、電漿輔助化學氣相沉積、物理氣相沉積、原子層沉積、熱氧化、電子束蒸鍍、其他合適的沉積技術、或上述之組合。舉例來說,圖案化製程可包含微影製程(如光微影或電子束微影),其可進一步包括塗佈光阻(如旋轉塗佈)、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗、乾燥(如旋乾及/或硬烘烤)、其他合適的微影技術、及/或上述之組合。在一些實施例中,蝕刻製程可包括乾蝕刻(如反應性離子蝕刻)、濕蝕刻、及/或其他蝕刻方法。在一些實施例中,虛置電極層226可包含多晶矽。在一些實施例中,硬遮罩228包括氧化物層230如墊氧化物層,其可包含氧化矽。在一些實施例中,硬遮罩228包括氮化物層232如墊氮化物層,其可包含氮化矽、氮氧化矽、及/或碳化矽。
如圖2A與2B所示的一些實施例,在形成虛置閘極堆疊222之後,自第一鰭狀單元210A的源極/汲極區500移除虛置介電層224。因此可移除虛置電極層226未覆蓋的虛置介電層224。移除製程可包含濕蝕刻、乾蝕刻、及/或上述之組合。選擇蝕刻製程以選擇性地蝕刻虛置介電層224,而實質上不蝕刻鰭狀單元210、硬遮罩228、與虛置電極層226。
如圖1A、2A、與2B所示,方法100的步驟106形成閘極間隔物234於第一虛置閘極堆疊222A與第二虛置閘極堆疊222B的側壁上。在一些實施例中,形成閘極間隔物234所用的間隔物材料可順應性地沉積於工件200上,包括沉積於虛置閘極堆疊222 (包括第一虛置閘極堆疊222A與第二虛置閘極堆疊222B)的上表面與側壁上,以形成間隔物材料層。此處所述的用語「順應性」指的是層狀物在多種區域上具有實質上一致的厚度。閘極間隔物234可具有單層結構或包含多層。在圖2A與2B所示的一些實施例中,閘極間隔物234包括第一閘極間隔物234-1、第二閘極間隔物234-2、與第三閘極間隔物234-3。在這些實施例中,第一閘極間隔物234-1可包含碳氮化矽,第二閘極間隔物234-2可包含碳氧化矽或其他低介電常數的介電層,且第三閘極間隔物234-3可包含碳氮氧化矽、氮化矽、或介電常數高於第二閘極間隔物234-2的材料。此外在這些實施例中,與第一閘極間隔物234-1與第二閘極間隔物234-2相較,第三閘極間隔物234-3的介電常數與抗蝕刻性較高,且可作為遮罩膜或圖案膜。在之後的製程中,可部分地或完全移除較高介電常數較高的第三閘極間隔物234-3,並保留介電常數較低的第一閘極間隔物234-1及/或第二閘極間隔物234-2。在一些其他實施例中,閘極間隔物234可具有單層的介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽、及/或上述之組合。間隔物材料層可沉積於虛置閘極堆疊222上(包括第一虛置閘極堆疊222A與第二虛置閘極堆疊222B),其採用的製程可為化學氣相沉積製程、次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他合適製程。接著在非等向蝕刻製程中回蝕刻間隔物材料層,以形成閘極間隔物234。非等向蝕刻製程可露出與虛置閘極堆疊222相鄰但不被虛置閘極堆疊222覆蓋的鰭狀單元210 (包含第一鰭狀單元210A與第二鰭狀單元210B),比如源極/汲極區中的鰭狀單元210。可由非等向蝕刻製程完全移除直接位於虛置閘極堆疊222上的間隔物材料層的部分,而閘極間隔物234保留於虛置閘極堆疊222的側壁上。
如圖1A、3A、與3B所示,方法100的步驟108使第一鰭狀單元210A的源極/汲極區500凹陷,以形成第一源極/汲極溝槽218A。雖然未圖示,但可採用光微影製程與至少一硬遮罩進行步驟108的步驟。在一些實施例中,蝕刻第一虛置閘極堆疊222A與閘極間隔物234未覆蓋的第一鰭狀單元210A的部分,以形成第一源極/汲極溝槽218A,且蝕刻方法可為乾蝕刻或合適的蝕刻製程。舉例來說,乾蝕刻製程可實施含氧氣體、含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。在圖3A與3B所示的一些實施例中,使第一鰭狀單元210A的上側部分凹陷,以露出磊晶層206 (如犧牲層)與磊晶層208 (如通道層)。在一些實施方式中,亦使第一鰭狀單元210A的下側部分之至少一部分凹陷。因此第一源極/汲極溝槽218A可延伸於最底部的磊晶層206 (如犧牲層)之下。完成步驟108之後,第一鰭狀單元210A的源極/汲極區500可與淺溝槽隔離結構212的上表面齊平,或低於淺溝槽隔離結構212的上表面。如圖3B所示,可由光微影圖案化第三閘極間隔物234-3以覆蓋第二裝置區1000B,並使第一裝置區1000A露出以進行步驟108的步驟。定義第一源極/汲極溝槽218A的保留閘極間隔物234,可視作第一鰭狀物側壁235。在一些例子中,第一鰭狀物側壁235的第一高度H1介於約0 nm至約40 nm之間。
如圖1A與4A所示,方法100的步驟110使第一鰭狀單元210A的磊晶層206 (如犧牲層)凹陷,以形成內側間隔物凹陷236於第一裝置區1000A中。值得注意的是,在圖4B所示的實施例中,圖案化的第三閘極間隔物234-3維持遮罩第二裝置區1000B,並露出第一裝置區1000A以進行步驟110的步驟。在圖4A所示的一些實施例中,使第一源極/汲極溝槽218A中露出的磊晶層206 (如犧牲層)選擇性與部分地凹陷,以形成內側間隔物凹陷236,而實質上不蝕刻露出的磊晶層208 (如通道層)。在磊晶層208 (如通道層)基本上為矽而磊晶層206 (如犧牲層)基本上為矽鍺的實施例中,使磊晶層206 (如犧牲層)選擇性凹陷的方法可包含矽鍺氧化製程與後續的矽鍺氧化物移除製程。在這些實施例中,矽鍺氧化製程可採用臭氧。在一些實施例中,選擇性凹陷步驟可為選擇性等向蝕刻製程(比如選擇性乾蝕刻製程或選擇性濕蝕刻製程),且可由蝕刻製程的時間控制磊晶層206 (如犧牲層)的凹陷量。在一些實施例中,選擇性乾蝕刻製程可採用一或多種氟為主的蝕刻劑,比如氟氣或氫氟碳化物。如圖4A所示,內側間隔物凹陷236自第一源極/汲極溝槽218A向內延伸。在一些實施例中,選擇性濕蝕刻製程可包含氫氟酸或氫氧化銨的蝕刻劑。如圖4A所示,內側間隔物凹陷236自第一源極/汲極溝槽218A向內延伸。
如圖1A、5A、與5B所示,方法100的步驟112沉積第一內側間隔物層240於第一裝置區1000A與第二裝置區1000B上。第一內側間隔物層240的沉積方法可為化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層沉積、或其他合適方法。在一些例子中,第一內側間隔物層240的厚度介於約1 nm至約3 nm之間。如圖5A所示的第一裝置區1000A,第一內側間隔物層240用於在拉回(回蝕刻)內側間隔物時,保護閘極間隔物234、硬遮罩228、與磊晶層208 (如通道層)免於損傷。如圖5B所示的第二裝置區1000B,第一內側間隔物層240沉積於第三閘極間隔物234-3上。在一些實施方式中,第一內側間隔物層240的組成可為金屬氧化物或富碳的碳氮化矽。此處的金屬氧化物可包含氧化鋁、氧化鋯、氧化鉭、氧化釔、氧化鈦、氧化鑭、或其他合適的金屬氧化物。富碳的碳氮化矽之碳濃度大於5%。在圖5A所示的實施例中,第一內側間隔物層240可順應性地沉積於硬遮罩228的上表面、閘極間隔物234的上表面與側壁、以及第一源極/汲極溝槽218A中露出的基板202的部分上。在形成介電鰭狀物的實施例中,第一內側間隔物層240亦可順應性地沉積於介電鰭狀物的上表面與側壁上。
如圖1A、6A、與6B所示,方法100的步驟114沉積第二內側間隔物層242於第一裝置區1000A與第二裝置區1000B上。在一些實施例中,第二內側間隔物層242的沉積方法可為化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層沉積、或其他合適方法。在一些例子中,第二內側間隔物層242的厚度可介於約3 nm至約5 nm之間。在圖6A所示的第一裝置區1000A中,由於內側間隔物凹陷236未填有第一內側間隔物層240,第二內側間隔物層242亦沉積至內側間隔物凹陷236中。在圖6B所示的第二裝置區1000B中,第二內側間隔物層242可沉積於第一內側間隔物層240上。在一些實施方式中,第二內側間隔物層242的組成可為氧化矽、碳氮氧化矽、碳氧化矽、或其他低介電常數材料。第二內側間隔物層242可為孔洞狀,以進一步降低介電常數。在一些例子中,第二內側間隔物層242的碳含量,小於第一內側間隔物層240的碳含量。
如圖1B、7A、與7B所示,方法100的步驟116拉回(回蝕刻)第二內側間隔物層242。在一些實施例中,非等向且選擇性地回蝕刻第二內側間隔物層242,直到自硬遮罩228的上表面、閘極間隔物234的上表面與側壁、第一源極/汲極溝槽218A中露出的基板202的部分、第一裝置區1000A中的介電鰭狀物(若存在)的上表面與側壁、與第二裝置區1000B中的第一內側間隔物層240完全移除第二內側間隔物層242。如上所述,第一內側間隔物層240的組成與第二內側間隔物層242的組成不同,因此可選擇性地蝕刻第二內側間隔物層242,而第一內側間隔物層240的蝕刻速率慢。在一些實施方式中,步驟116中的第二內側間隔物層242與第一內側間隔物層240的蝕刻選擇性大於5。在一些實施方式中,步驟118進行的等向蝕刻可採用氫氟酸、氟氣、氫氣、氨、三氟化氮、或其他含氟蝕刻劑。在圖8A與8B所示的一些實施例中,蝕刻內側間隔物凹陷236中的第二內側間隔物層242,使第二內側間隔物層242的外側表面與閘極間隔物234的側壁不共平面。在本發明其他實施例中,可省略形成第一內側間隔物層240的步驟,且第一裝置區1000A中的裝置可只包含第二內側間隔物層242於內側間隔物凹陷236中。
如圖1B、8A、與8B所示,方法100的步驟118沉積第一圖案膜302於第一裝置區1000A與第二裝置區1000B上。在一些實施例中,由下述內容可清楚得知,步驟120可圖案化第一圖案膜302,以作為對不同型態的裝置進行不同製程處理時的遮罩。在一些實施例中,第一圖案膜302可包含氮化矽或碳氮化矽。此配置之後可選擇性移除第一圖案膜302,而實質上不損傷第一內側間隔物層240。在一些實施方式中,第一圖案膜302的沉積方法可採用化學氣相沉積、電漿輔助化學氣相沉積、低壓化學氣相沉積、原子層沉積、或其他合適方法。在一些例子中,第一圖案膜302的厚度可介於約3 nm至約5 nm之間。
如圖1B、9A、與9B所示,方法100可圖案化第一圖案膜302以露出第一裝置區1000A中的n型裝置區10AN。在圖9A所示的一些實施例中,採用光微影技術圖案化第一圖案膜302。第一光阻層304沉積於工件200上的方法,可為旋轉塗佈。接著以圖案化射線曝光、曝光後烘烤、與顯影第一光阻層304,以形成圖案化的第一光阻層304,其露出第一裝置區1000A中的n型裝置區10AN,並遮蔽p型裝置區10AP與第二裝置區1000B。接著可由合適的蝕刻製程移除n型裝置區10AN上露出的第一圖案膜302,以露出內側間隔物凹陷236中的第一內側間隔物層240與第二內側間隔物層242。
如圖1B、10A、與10B所示,方法100的步驟120圖案化第一圖案膜302以露出第二裝置區1000B中的n型裝置區10BN。在圖10A所示的一些實施例中,在步驟120開始之前,先沉積第一輔助光阻層305以遮罩第一裝置區1000A中露出的n型裝置區10AN。為簡化圖式,遮罩第一裝置區1000A的光阻層標示為304/305。接著進行光微影製程,以露出第二裝置區中的n型裝置區10BN。
如圖1B、11A、與11B所示,方法100的步驟122使第二裝置區1000B中的n型裝置區10BN的源極/汲極區500凹陷,以形成第二源極/汲極溝槽218BN。在一些實施例中,蝕刻第二虛置閘極堆疊222B與閘極間隔物234未覆蓋的第二鰭狀單元210B的部分,以形成第二源極/汲極溝槽218BN,且蝕刻方法可為乾蝕刻或合適的蝕刻製程。舉例來說,乾蝕刻製程可實施含氧氣體、含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。在圖11B所示的一些實施例中,使第二鰭狀單元210B的上側部分凹陷,以露出磊晶層206 (如犧牲層)與磊晶層208 (如通道層)。在一些實施例中,亦使第二鰭狀單元210B的下側部分的至少一部分凹陷。因此第二源極/汲極溝槽218BN可延伸於最底部的磊晶層206 (如犧牲層)之下。定義第二源極/汲極溝槽218BN的保留閘極間隔物234,可視作第二鰭狀物側壁237。在一些例子中,第二鰭狀物側壁237的第二高度H2介於約15 nm至約40 nm之間。
在本發明一些實施例中(未圖示),可非等向且選擇性地修整圖11B中的第二裝置區1000B中露出的磊晶層206 (如犧牲層)與磊晶層208 (如通道層),直到露出的磊晶層206 (如犧牲層)與磊晶層208 (如通道層)的側壁凹陷而不再與閘極間隔物234的側壁齊平。接著可磊晶成長矽層於露出的磊晶層206 (如犧牲層)與磊晶層208(如通道層)之凹陷側壁上,以形成再成長的磊晶矽層,其實質上與第二裝置區1000B中的n型裝置區10BN中的閘極間隔物234的側壁齊平。在一些實施方式中,再成長的磊晶矽層可摻雜碳以用於n型裝置區10BN。在這些實施例中,修整製程有助於增加n型磊晶的源極/汲極結構體積,以確保施加足夠的應力至通道部件上,且再成長的磊晶矽層可降低漏電流並增加通道部件的可信度。
如圖1B、12A、與12B所示,方法100的步驟124移除第一裝置區1000A中的n型裝置區10AN與第二裝置區1000B中的n型裝置區10BN上的第一內側間隔物層240。在一些實施例中,第一裝置區1000A中的n型裝置區10AN與第二裝置區1000B中的n型裝置區10BN上的第一內側間隔物層240的移除方法,可為濕式清潔製程或合適方法。在一些實施方式中,濕式清潔製程可採用硫酸與過氧化氫的混合物溶液、RCA標準清潔溶液-1、或RCA標準清潔溶液-2。在一些例子中,步驟124的濕式清潔製程同時移除第一裝置區1000A中的n型裝置區10AN與第二裝置區1000B中的n型裝置區10BN上的第一內側間隔物層240,以及第一光阻層304與第一輔助光阻層305。在其他例子中,步驟124的濕式清潔製程為獨立製程,其於移除第一光阻層304與第一輔助光阻層305的獨立製程之後進行。值得注意的是,第一圖案膜302維持遮罩第一裝置區1000A中的p型裝置區10AP與第二裝置區1000B中的p型裝置區10BP上的第一內側間隔物層240,因此步驟124不會蝕刻第一內側間隔物層240。
如圖1B、13A、與13B所示,方法100的步驟126形成n型的磊晶的源極/汲極結構244N於第一裝置區1000A中的n型裝置區10AN以及第二裝置區1000B中的n型裝置區10BN的源極/汲極區500中。步驟126所用的合適磊晶製程包括化學氣相沉積技術(如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、及/或其他合適製程。磊晶成長製程可採用氣相或液相的前驅物,其與基板202及磊晶層208 (如通道層)的組成作用。在圖14A與14B所示的實施例中,n型磊晶源極/汲極結構244N直接接觸第一裝置區1000A中的第一源極/汲極溝槽218A中與第二裝置區1000B中的第二源極/汲極溝槽218BN中露出的基板202的部分與磊晶層208 (如通道層)。在這些實施例中,n型的磊晶的源極/汲極結構244N不直接接觸第一裝置區1000A中的磊晶層206 (如犧牲層),因為第一內側間隔物層240與第二內側間隔物層242位於內側間隔物凹陷236中。n型的磊晶的源極/汲極區244N直接接觸沉積於內側間隔物凹陷236中的第一內側間隔物層240與第二內側間隔物層242。第二裝置區1000B所用的設置不同。第二裝置區1000B中的n型的磊晶的源極/汲極結構244N可直接接觸第二裝置區1000B中的磊晶層206 (如犧牲層),因為內側間隔物凹陷不形成在第二裝置區1000B中,因此磊晶層206 (如犧牲層)與n型的磊晶的源極/汲極結構244N之間未隔有第一內側間隔物層240與第二內側間隔物層242。在圖12A所示的實施例中,具有兩個內側間隔物層,且位於內側間隔物凹陷236的每一者中的第一內側間隔物層240與第二內側間隔物層242,可一起視作內側間隔物結構241。在每一內側間隔物結構241中,第一內側間隔物層240包覆第二內側間隔物層242,且只露出第二內側間隔物層242的外部側壁。在其他實施例中,只有單一的內側間隔物層,且每一內側間隔物結構241具有單層結構。
在多種實施例中,n型的磊晶的源極/汲極結構244N可包含矽、砷化鎵、磷砷化鎵、磷化矽、或其他合適材料。在n型的磊晶的源極/汲極結構244N的磊晶製程時可進行原位摻雜,以將摻雜物種如n型摻質(比如磷或砷)及/或其他合適摻質(包含上述之組合)導入n型的磊晶的源極/汲極結構244N。若未原位摻雜n型的磊晶的源極/汲極結構244N,可進行佈植製程(如接面佈植製程)以摻雜n型的磊晶的源極/汲極結構244N。在一例示性的實施例中,n型金氧半裝置中的n型的磊晶的源極/汲極結構244N包含磷化矽。
在一些實施例中,n型的磊晶的源極/汲極結構244N可包含多層。在圖13A與13B所示的一些實施例中,第一裝置區1000A中的n型的磊晶的源極/汲極結構244N與第二裝置區1000B中的n型的磊晶的源極/汲極結構244N各自包含第一初始層245N。在一些實施例中,第一初始層245N可包含矽與碳,且其形成方法可採用獨立的磊晶製程。第一初始層245N可作為露出通道部件的製程所用的蝕刻停止層,且可視作露出線路的停止層。第一初始層245N亦可避免漏電流。如圖11B所示的一些其他實施方式,可形成再成長的磊晶矽層,且可省略第一初始層245N。值得注意的是,由於第一裝置區1000A中的內側間隔物與第一初始層245N的功能類似,因此可省略第一裝置區1000A中的第一初始層245N而無任何負面影響。
如圖1C、14A、與14B所示,方法100的步驟128移除第一圖案膜302。在圖14A與14B所示的一些實施例中,將沉積於第一裝置區1000A中的p型裝置區10AP與第二裝置區1000B中的p型裝置區10BP上的其餘第一圖案膜302移除。在一些實施例中,可採用合適的乾蝕刻製程或濕蝕刻製程選,以擇擇性地移除第一圖案膜302。在一些例子中,合適的濕蝕刻製程可採用磷酸溶液。
如圖1C、15A、15B、16A、與16B所示,方法100的步驟130形成第二圖案膜306於工件200上,以露出第一裝置區1000A中的p型裝置區10AP。製程的例子如下述。如圖15A與15B所示,沉積第二圖案膜306於工件200的第一裝置區1000A與第二裝置區1000B上。第二圖案膜306所用的組成與形成製程,可與第一圖案膜302所用的組成與製程類似,在此不重述。接著沉積第二光阻層308於第二圖案膜306上,並採用光微影技術圖案化第二光阻層308,以露出第一裝置區1000A中的p型裝置區10AP。接著移除第一裝置區1000A中的p型裝置區10AP上的第二圖案膜306的部分,且移除方法可為濕蝕刻、乾蝕刻、或合適方法。
如圖1C、17A、17B、18A、與18B所示,方法100的步驟132使第二裝置區1000B中的p型裝置區10BP中的第二鰭狀單元210B的源極/汲極區500凹陷。在圖17A所示的一些實施例中,沉積第二輔助光阻層309,以遮罩第一裝置區1000A中露出的p型裝置區10AP。接著進行光微影製程以露出第二裝置區1000B中的p型裝置區10BP,如圖17B所示。在一些實施例中,之後可蝕刻第二虛置閘極堆疊222B與閘極間隔物234未覆蓋的第二鰭狀單元210B的部分,以形成第三源極/汲極溝槽218BP,且蝕刻方法可為乾蝕刻或合適的蝕刻製程。舉例來說,乾蝕刻製程可實施含氧氣體、含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。在圖18A與18B所示的一些實施例中,使第二鰭狀單元210B的上側部分凹陷,以露出磊晶層206 (如犧牲層)與磊晶層208 (如通道層)。在一些實施方式中,第二鰭狀單元210B的下側部分之至少一部分亦凹陷。因此第三源極/汲極溝槽218BP可延伸於最底部的磊晶層206 (如犧牲層)之下。在進行步驟132之後,第二鰭狀單元210B的源極/汲極區500可與淺溝槽隔離結構212的上表面齊平,或低於淺溝槽隔離結構212的上表面。定義第三源極/汲極溝槽218BP的保留閘極間隔物234可視作圖18B中的第三鰭狀物側壁239。在一些例子中,第三鰭狀物側壁239的第三高度H3與第二高度H2類似,介於約15 nm至約40 nm之間。
在圖17所示的實施例中,具有兩個內側間隔物層,且位於內側間隔物凹陷236的每一者中的第一內側間隔物層240與第二內側間隔物層242,可一起視作內側間隔物結構241。在p型裝置區10AP中的內側間隔物結構241的每一者中,第一內側間隔物層240包覆第二內側間隔物層242,且只露出第二內側間隔物層242的一外部側壁。在其他實施例中,只有單一的內側間隔物層,且內側間隔物結構241的每一者具有單層結構。如圖17A所示,在形成n型的磊晶的源極/汲極結構244N之後,n型裝置區10AN中的第二內側間隔物層242的側壁 (未被第一內側間隔物層240覆蓋)接觸n型的磊晶的源極/汲極結構244N或第一初始層245N。
在本發明一些實施例中(未圖示),可等向且選擇性地修整圖17B所示的第二裝置區1000B中的p型裝置區10BP中露出的磊晶層206 (如犧牲層)與磊晶層208 (如通道層),直到露出的磊晶層206 (如犧牲層)與磊晶層208 (如通道層)之側壁凹陷而不再與閘極間隔物234的側壁齊平。接著可磊晶成長矽層於露出的磊晶層206 (如犧牲層)與磊晶層208 (如通道層)的凹陷側壁上,以形成再成長的磊晶矽層,其實質上與閘極間隔物234的側壁齊平。在一些實施方式中,形成於p型裝置區10BP中的再成長磊晶矽層可摻雜硼。在這些實施例中,修整步驟有助於增加p型的磊晶的源極/汲極結構之體積,以確保施加足夠應力至通道部件上,且再成長的磊晶矽層可降低漏電流並增加通道部件的可信度。
如圖1C、19A、與19B所示,方法100的步驟134形成p型的磊晶的源極/汲極結構244P於第一裝置區1000A中的p型裝置區10AP與第二裝置區1000B中的p型裝置區10BP中的源極/汲極區500上。步驟134所用的合適磊晶製程包括化學氣相沉積技術(如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、及/或其他合適製程。磊晶成長製程可採用氣相及/或液相前驅物,其與基板202、磊晶層208 (如通道層)、或初始層(若存在,比如下述的第二初始層245P)的組成作用。p型磊晶的源極/汲極結構244P可直接接觸基板202、磊晶層208 (如通道層)、磊晶層206 (如犧牲層)、或初始層(若存在,比如下述的第二初始層245P)。由於第二裝置區1000B中未實施內側間隔物,第二裝置區1000B中的磊晶層206 (如犧牲層)與磊晶層208 (如通道層)之間未隔有任何內側間隔物。
在多種實施例中,p型的磊晶的源極/汲極結構244P可包含矽、鍺、砷化鋁鎵、矽鍺、摻雜硼的矽鍺、或其他合適材料。在p型的磊晶的源極/汲極結構244P的磊晶製程時可進行原位摻雜,以將摻雜物種如p型摻質(比如硼或二氟化硼及/或包含上述之組合的其他合適摻質)導入p型的磊晶的源極/汲極結構244P。若未原位摻雜p型的磊晶的源極/汲極結構244P,可進行佈植製程(如接面佈植製程)以摻雜p型的磊晶的源極/汲極結構244P。在一例示性實施例中,p型金氧半裝置中的p型的磊晶的源極/汲極結構244P包括硼化矽鍺。
在一些實施例中,p型的磊晶的源極/汲極結構244P可包含多層。在圖19A與19B所示的一些實施例中,第一裝置區1000A中p型的磊晶的源極/汲極結構244P與第二裝置區1000B中p型的磊晶的源極/汲極結構244P各自包含第二初始層245P。在一些實施例中,第二初始層245P包含矽與硼,且其形成方法可採用獨立的磊晶製程。在一些實施方式中,可修整第一源極/汲極溝槽218A與第三源極/汲極溝槽218BP中露出的磊晶層206 (如犧牲層)與磊晶層208 (如通道層),且可進行矽的再成長製程以形成第二初始層245P。第二初始層245P可作為露出通道部件的製程所用的蝕刻停止層,因此可視作露出線路的停止層。第二初始層245P亦可避免漏電流。在一些其他實施方式中,如搭配圖17B所述的內容,可形成再成長的磊晶矽層並可省略第二初始層245P。值得注意的是,由於第一裝置區1000A中的內側間隔物與第二初始層245P的功能類似,可在第一裝置區1000A中省略第二初始層245P而不會有任何負面影響。
如圖19A所示,在形成p型的磊晶的源極/汲極結構244P之後,p型裝置區10AP中的第二內側間隔物層242的側壁(未被第一內側間隔物層240覆蓋)接觸p型的磊晶的源極/汲極結構244P或第二初始層245P。
如圖1C、20A、與20B所示,方法100的步驟136進行後續製程。如圖20A與20B所示,這些後續製程可包括沉積接點蝕刻停止層246、沉積層間介電層248、移除第一虛置閘極堆疊222A與第二虛置閘極堆疊222B、露出磊晶層208 (如通道層)如通道部件、形成金屬閘極堆疊250、以及平坦化工件200。在一些實施例中,在形成層間介電層248之前但在移除第二圖案膜306之後,形成接點蝕刻停止層246。在一些例子中,接點蝕刻停止層246包括氮化矽層、氧化矽層、氮氧化矽層、及/或本技術領域已知的其他材料。接點蝕刻停止層246的形成方法可為原子層沉積、電漿輔助化學氣相沉積製程、及/或其他合適的沉積或氧化製程。接著沉積層間介電層248於接點蝕刻停止層246上。在一些實施例中,層間介電層248包括的材料可為四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、摻雜的氧化矽(如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、或硼矽酸鹽玻璃)、及/或其他合適的介電材料。層間介電層248的沉積方法可為電漿輔助化學氣相沉積製程或其他合適的沉積技術。在一些實施例中,在形成層間介電層248之後,可退火工件200以改善層間介電層248的完整性。
在一些例子中,沉積層間介電層248之後可進行平坦化製程,以移除多餘的介電材料。舉例來說,平坦化製程包含化學機械研磨製程,其移除虛置閘極堆疊222 (包含第一虛置閘極堆疊222A與第二虛置閘極堆疊222B)之上的層間介電層248的部分(以及接點蝕刻停止層,若存在),並平坦化工件200的上表面。在一些實施例中,化學機械研磨製程亦移除硬遮罩228並露出虛置電極層226。露出虛置電極層226之後,可移除虛置電極層226並露出磊晶層208 (如通道層)。
在一些實施例中,移除虛置閘極堆疊(包括第一虛置閘極堆疊222A與第二虛置閘極堆疊222B),以形成閘極溝槽於通道區400上。接著可形成金屬閘極堆疊250於閘極溝槽中,如下所述。移除虛置閘極堆疊222的方法可包含一或多道蝕刻製程,其對虛置閘極堆疊222的材料具有選擇性。舉例來說,移除虛置閘極堆疊222的方法可採用選擇性濕蝕刻、選擇性乾蝕刻、或上述之組合,其對虛置電極層226具有選擇性。閘極溝槽中露出鰭狀單元210 (如第一鰭狀單元210A與第二鰭狀單元210B)的磊晶層206與208。
在移除虛置閘極堆疊222之後,方法100可包含選擇性移除通道區400中的磊晶層208 (如通道層)之間的磊晶層206 (如犧牲層)。選擇性移除磊晶層206 (如犧牲層)可露出磊晶層208 (如通道層),以形成磊晶層208的通道部件。值得注意的是,採用相同標號208標示磊晶層208的通道部件以簡化說明。選擇性移除磊晶層206 (如犧牲層)的實施方法可為選擇性乾蝕刻、選擇性濕蝕刻、或其他選擇性蝕刻製程。在一些實施例中,選擇性濕式蝕刻包括以氫氧化銨-過氧化氫-水的混合物進行蝕刻。在一些實施例中,選擇性移除包括氧化矽鍺後移除矽鍺氧化物。舉例來說,可由臭氧清潔進行氧化,接著以蝕刻劑如氫氧化銨移除矽鍺氧化物。
方法100可包含額外步驟以形成金屬閘極堆疊250。金屬閘極堆疊250可為高介電常數介電層與金屬閘極的堆疊。在一些實施例中,金屬閘極堆疊250形成於工件200上的閘極溝槽中,並沉積於移除磊晶層206 (如犧牲層)所留下的空間中。在此考量下,金屬閘極堆疊250包覆第一鰭狀單元210A與第二鰭狀單元210B的每一者中,磊晶層208的通道部件的每一者。在多種實施例中,金屬閘極堆疊250 (或高介電常數的介電層與金屬閘極的堆疊)包括界面層、形成於界面層上的高介電常數的閘極介電層、及/或形成於高介電常數的閘極介電層上的閘極層。此處所述的高介電常數的閘極介電層包含的介電材料具有高介電常數,比如大於熱氧化矽的介電常數(約3.9)。金屬閘極堆疊250所用的閘極層可包含金屬、金屬合金、或金屬矽化物。此外,形成金屬閘極堆疊255的步驟可包含沉積形成多種閘極材料與一或多個襯墊層,並進行一或多道化學機械研磨製程以移除多餘閘極材料及平坦化工件200的上表面。
在一些實施例中,金屬閘極堆疊250的界面層可包含介電材料如氧化矽、矽酸鉿、或氮氧化矽。界面層的形成方法可為化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他合適方法。金屬閘極堆疊250的高介電常數的閘極介電層可包含高介電常數的介電層如氧化鉿。在其他實施例中,金屬閘極堆疊250的高介電常數的閘極介電層可包含其他高介電常數的介電層,比如氧化鈦、氧化鉿鋯、三氧化二鉭、矽酸鉿、氧化鋯、氧化鋯矽、氧化鑭、氧化鋯、氧化鈦、五氧化二鉭、氧化釔、鈦酸鍶、鈦酸鋇、氧化鋇鋯、氧化鉿鋯、氧化鉿鑭、氧化鉿矽、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、鈦酸鋇鍶、氧化鋁、氮化矽、氮氧化矽、上述之組合、或其他合適材料。高介電常數的閘極介電層之形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、氧化、及/或其他合適方法。
金屬閘極堆疊250的閘極可包含單層或交錯的多層結構,比如具有選定功函數的金屬層(用於增進裝置效能的功函數金屬層)、襯墊層、濕潤層、黏著層、與金屬合金或金屬矽化物的多種組合。舉例來說,金屬閘極堆疊250的閘極層可包括鈦、銀、鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、銅、鎢、錸、銥、鈷、鎳、其他合適金屬材料、或上述之組合。在多種實施例中,金屬閘極堆疊250的閘極層之形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍、或其他合適製程。此外,可分別形成用於n型場效電晶體與p型場效電晶體的閘極層,其可採用不同金屬層以提供不同的n型與p型功函數金屬層。在多種實施例中,可進行化學機械研磨製程,以自金屬閘極堆疊250的閘極層移除多餘金屬,進而提供金屬閘極堆疊250的實質上平坦上表面。金屬閘極堆疊250包括夾設於通道區400中的磊晶層208 (如通道層)的通道部件之間的部分。
本發明的一或多個實施例對半導體裝置與其形成方法提供許多優點,但這些優點並非用於侷限本發明實施例。舉例來說,本發明實施例可提供選擇性實施內側間隔物的方式,其實施內側間隔物於第一裝置區中而不實施內側間隔物於第二裝置區中,第一裝置區的裝置具有第一鰭狀物寬度,第二裝置區的裝置具有第二鰭狀物寬度,且第二鰭狀物寬度小於第一鰭狀物寬度。在一些應用中,第一裝置區適用於邏輯裝置,而第二裝置區適用於靜態隨機存取記憶體裝置。
本發明一例示性的實施例關於半導體裝置。半導體裝置包括多個第一全繞式閘極裝置位於第一裝置區中,以及多個第二全繞式閘極裝置位於第二裝置區中。第一全繞式閘極裝置的每一者包括多個通道部件的第一垂直堆疊;第一閘極結構,圍繞通道部件的第一垂直堆疊並位於其上;以及多個內側間隔物結構。第二全繞式閘極裝置的每一者包括多個通道部件的第二垂直堆疊;以及第二閘極結構,圍繞通道部件的第二垂直堆疊並位於其上。通道部件的第一垂直堆疊之兩個相鄰的通道部件之間,隔有第一閘極結構的一部分與內側間隔物結構的至少一者。通道部件的第二垂直堆疊之兩個相鄰的通道部件之間,只隔有第二閘極結構的一部分。
在一些實施例中,第一裝置區為邏輯裝置區,且第二裝置區為記憶體裝置區。在一些實施方式中,通道部件的第一垂直堆疊的每一者具有沿著第一閘極結構的方向之第一寬度,通道部件的第二垂直堆疊的每一者具有沿著第一閘極結構的方向之第二寬度,且第一寬度大於第二寬度。在一些實施方式中,第一寬度介於約16 nm至約30 nm之間。在一些例子中,第二寬度介於約6 nm至約15 nm之間。在一些實施例中,內側間隔物結構的每一者包括金屬氧化物、碳氮化矽、碳氮氧化矽、或碳氧化矽。在一些實施例中,第一全繞式閘極裝置的每一者更包括第一源極/汲極結構以與通道部件的第一垂直堆疊相鄰,且第二全繞式閘極裝置的每一者更包括第二源極/汲極結構以與通道部件的第二垂直堆疊相鄰。第一源極/汲極結構接觸內側間隔物結構的至少一者,且第二源極/汲極結構接觸通道部件的第二垂直堆疊之兩個相鄰的通道部件之間的第二閘極結構的部分。
本發明另一例示性的實施例關於半導體裝置。半導體裝置包括:第一全繞式閘極裝置與第二全繞式閘極裝置。第一全繞式閘極裝置包括:第一通道部件,位於第一全繞式閘極裝置的通道區中;第一閘極結構,包覆第一通道部件並位於其上;以及內側間隔物結構。第二全繞式閘極裝置包括:第二通道部件,位於第二全繞式閘極裝置的通道區中;以及第二閘極結構,包覆第二通道部件並位於其上。兩個相鄰的第一通道部件之間隔有第一閘極結構的一部分與至少一內側間隔物結構,且兩個相鄰的第二通道部件之間只隔有第二閘極結構的一部分。
在一些實施例中,內側間隔物結構各自具有單層結構。在一些實施方式中,內側間隔物結構各自包括第一層與第二層,其中第一層包括金屬氧化物或碳氮化矽,且第二層包括碳氮氧化矽或碳氧化矽。在一些實施例中,第一層的介電常數大於第二層的介電常數。在一些實施例中,第一全繞式閘極裝置位於邏輯裝置區中,且第二全繞式閘極裝置位於靜態隨機存取記憶體裝置區中。在一些實施方式中,第一通道部件的每一者具有第一寬度,第二通道部件的每一者具有第二寬度,且第一寬度大於第二寬度。在一些實施例中,第一寬度介於約16 nm至約30 nm之間,且第二寬度介於約6 nm至約15 nm之間。在一些例子中,第一全繞式閘極裝置更包括第一源極/汲極結構與第二源極/汲極結構位於第一全繞式閘極裝置的通道區之每一側上,且第一閘極結構位於兩個第一通道部件之間的一部分,延伸於兩個內側間隔物結構之間。第二全繞式閘極裝置更包括第三源極/汲極結構與第四源極/汲極結構位於第二全繞式閘極裝置的通道區之每一側上,且第二閘極結構位於兩個第二通道部件之間的一部分,延伸於第三源極/汲極結構與第四源極/汲極結構之間且接觸第三源極/汲極結構與第四源極/汲極結構。
本發明又一例示性的實施例關於半導體裝置的製作方法。半導體裝置的製作方法包括:形成層狀物堆疊於基板上,且層狀物堆疊包括交錯的多個第一半導體層與多個第二半導體層;自基板的第一區中的層狀物堆疊形成第一鰭狀單元;自基板的第二區中的層狀物堆疊形成第二鰭狀單元;蝕刻第一源極/汲極溝槽,以露出第一鰭狀單元中的第一半導體層與第二半導體層的側壁,並以圖案膜遮罩第二鰭狀單元;選擇性地使第一鰭狀單元中的第二半導體層凹陷以形成內側間隔物凹陷,並以圖案膜遮罩第二鰭狀單元;沉積內側間隔物層於內側間隔物凹陷之中與第二鰭狀單元上的圖案膜之上;蝕刻第二源極/汲極溝槽,以露出第二鰭狀單元中的第一半導體層與第二半導體層的側壁;以及同時形成第一磊晶的源極/汲極結構於第一源極/汲極溝槽中,以及第二磊晶的源極/汲極結構於第二源極/汲極溝槽中。
在一些實施例中,第二鰭狀單元的第二寬度小於第一鰭狀單元的第一寬度。在一些實施方式中,第一區為邏輯裝置區,而第二區為記憶體裝置區。在一些實施例中,記憶體裝置區基本上為靜態隨機存取記憶體裝置。在一些例子中,沉積內側間隔物層的步驟包括:沉積第一內側間隔物層於內側間隔物凹陷之中與第二鰭狀單元上的圖案膜之上;沉積第二內側間隔物層於第一內側間隔物層上;以及使第二內側間隔物層凹陷。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
H1:第一高度 H2:第二高度 H3:第三高度 W1:第一寬度 W2:第二寬度 10AN,10BN:n型裝置區 10AP,10BP:p型裝置區 100:方法 102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132,134,136:步驟 200:工件 202:基板 204:磊晶堆疊 206,208:磊晶層 210:鰭狀單元 210A:第一鰭狀單元 210B:第二鰭狀單元 212:淺溝槽隔離結構 218A:第一源極/汲極溝槽 218BN:第二源極/汲極溝槽 218BP:第三源極/汲極溝槽 222:虛置閘極堆疊 222A:第一虛置閘極堆疊 222B:第二虛置閘極堆疊 224:虛置介電層 226:虛置電極層 228:硬遮罩 230:氧化物層 232:氮化物層 234:閘極間隔物 234-1:第一閘極間隔物 234-2:第二閘極間隔物 234-3:第三閘極間隔物 235:第一鰭狀物側壁 236:內側間隔物凹陷 237:第二鰭狀物側壁 239:第三鰭狀物側壁 240:第一內側間隔物層 241:內側間隔物結構 242:第二內側間隔物層 244N:n型的磊晶的源極/汲極結構 244P:p型的磊晶的源極/汲極結構 245N:第一初始層 245P:第二初始層 246:接點蝕刻停止層 248:層間介電層 250:金屬閘極堆疊 302:第一圖案膜 304:第一光阻層 305:第一輔助光阻層 306:第二圖案膜 308:第二光阻層 309:第二輔助光阻層 400:通道區 500:源極/汲極區 1000A:第一裝置區 1000B:第二裝置區
圖1A、1B、與1C係本發明一或多個實施例中,具有多重裝置區的半導體裝置之形成方法的流程圖。 圖2A至20A與圖2B至20B係本發明一或多個實施例中,工件於圖1的製作製程時的剖視圖。
10BN:n型裝置區
10BP:p型裝置區
200:工件
202:基板
208:磊晶層
212:淺溝槽隔離結構
244N:n型的磊晶的源極/汲極結構
244P:p型的磊晶的源極/汲極結構
245N:第一初始層
245P:第二初始層
246:接點蝕刻停止層
248:層間介電層
250:金屬閘極堆疊
1000B:第二裝置區

Claims (1)

  1. 一種半導體裝置,包括: 多個第一全繞式閘極裝置,位於一第一裝置區中,其中該些第一全繞式閘極裝置的每一者包括: 多個通道部件的一第一垂直堆疊; 一第一閘極結構,圍繞該些通道部件的該第一垂直堆疊並位於其上;以及 多個內側間隔物結構;以及 多個第二全繞式閘極裝置,位於一第二裝置區中,其中該些第二全繞式閘極裝置的每一者包括: 多個通道部件的一第二垂直堆疊;以及 一第二閘極結構,圍繞該些通道部件的該第二垂直堆疊並位於其上; 其中該些通道部件的該第一垂直堆疊之兩個相鄰的通道部件之間,隔有該第一閘極結構的一部分與該些內側間隔物結構的至少一者, 其中該些通道部件的該第二垂直堆疊之兩個相鄰的通道部件之間,只隔有該第二閘極結構的一部分。
TW109121981A 2019-09-26 2020-06-30 半導體裝置 TW202127663A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/583,388 2019-09-26
US16/583,388 US11205711B2 (en) 2019-09-26 2019-09-26 Selective inner spacer implementations

Publications (1)

Publication Number Publication Date
TW202127663A true TW202127663A (zh) 2021-07-16

Family

ID=75041024

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109121981A TW202127663A (zh) 2019-09-26 2020-06-30 半導體裝置

Country Status (3)

Country Link
US (1) US11205711B2 (zh)
CN (1) CN112563266A (zh)
TW (1) TW202127663A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827252B (zh) * 2021-10-14 2023-12-21 台灣積體電路製造股份有限公司 半導體結構及其形成方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11417653B2 (en) * 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11264508B2 (en) 2020-01-24 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage prevention structure and method
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들
US11398550B2 (en) * 2020-06-15 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with facet S/D feature and methods of forming the same
TW202302900A (zh) * 2021-04-06 2023-01-16 荷蘭商Asm Ip私人控股有限公司 用於形成包括氮化矽之圖案化結構的方法及系統及利用方法形成的裝置結構
US20230064457A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructure Device and Method of Forming Thereof
US20230061384A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Structure with a Reduced Amount of Defects and Methods for Fabricating the Same
WO2023030653A1 (en) * 2021-09-03 2023-03-09 Imec Vzw A nanostructure comprising nanosheet or nanowire transistors

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10714592B2 (en) * 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10971585B2 (en) * 2018-05-03 2021-04-06 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
US11088255B2 (en) * 2019-05-17 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US10879379B2 (en) * 2019-05-30 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827252B (zh) * 2021-10-14 2023-12-21 台灣積體電路製造股份有限公司 半導體結構及其形成方法

Also Published As

Publication number Publication date
US11205711B2 (en) 2021-12-21
CN112563266A (zh) 2021-03-26
US20210098605A1 (en) 2021-04-01

Similar Documents

Publication Publication Date Title
US10937895B2 (en) Epitaxial features confined by dielectric fins and spacers
TW202127663A (zh) 半導體裝置
TWI791855B (zh) 半導體裝置及其製造方法和多閘極半導體裝置
TWI786608B (zh) 半導體裝置及其製造方法
TWI768834B (zh) 半導體裝置及其製造方法
TW202111947A (zh) 半導體裝置
TWI824237B (zh) 半導體裝置及其形成方法
TWI773094B (zh) 半導體裝置及其製造方法
TW202113942A (zh) 半導體結構
TW202017189A (zh) 半導體裝置
TW202118058A (zh) 半導體裝置
TWI814012B (zh) 半導體裝置及其製造方法
TW202109895A (zh) 半導體裝置
TW202103323A (zh) 半導體結構
TW201919119A (zh) 製造半導體結構之方法
TW202205436A (zh) 半導體裝置
TW202201543A (zh) 半導體裝置
TW202220210A (zh) 半導體裝置
TW201933492A (zh) 半導體裝置的形成方法
TWI807398B (zh) 半導體裝置與其形成方法
US11777033B2 (en) Transistors having vertical nanostructures
TW202201558A (zh) 製造半導體裝置的方法
TWI822111B (zh) 半導體裝置與其形成方法
TW202145317A (zh) 半導體結構與其形成方法
US20230378363A1 (en) Transistors having vertical nanostructures