TWI822111B - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TWI822111B
TWI822111B TW111122177A TW111122177A TWI822111B TW I822111 B TWI822111 B TW I822111B TW 111122177 A TW111122177 A TW 111122177A TW 111122177 A TW111122177 A TW 111122177A TW I822111 B TWI822111 B TW I822111B
Authority
TW
Taiwan
Prior art keywords
fin
semiconductor material
type well
layer
layers
Prior art date
Application number
TW111122177A
Other languages
English (en)
Other versions
TW202303746A (zh
Inventor
周鴻儒
林彥伯
郭俊銘
彭遠清
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303746A publication Critical patent/TW202303746A/zh
Application granted granted Critical
Publication of TWI822111B publication Critical patent/TWI822111B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

方法包括形成p型井與n型井於基板中。方法更包括形成交錯的第一半導體層與第二半導體層的堆疊於p型井與n型井上,且第一半導體層的第一厚度不同於第二半導體層的第二厚度。方法更包括退火交錯的第一與第二半導體層的堆疊。方法更包括圖案化堆疊以形成鰭狀結構,鰭狀結構包括第一鰭狀結構於n型井上以及第二鰭狀結構於p型井上。方法更包括進行蝕刻以自與第一鰭狀結構移除第二半導體層,其中蝕刻之後的第一鰭狀結構中的第一半導體層與第二鰭狀結構中的第一半導體層的厚度不同。方法更包括形成金屬閘極於第一與第二鰭狀結構上。

Description

半導體裝置與其形成方法
本發明實施例一般關於積體電路裝置,更特別關於多閘極裝置如全繞式閘極裝置。
電子產業對更小、更快、且同時支援大量複雜功能的電子裝置的需求持續增加。為了符合這些需求,積體電路產業的持續趨勢為製造低成本、高效能、與低能耗的積體電路。達成這些目標的主要方法為減少積體電路的尺寸(如最小積體電路結構尺寸),以改善產能並降低相關成本。然而縮小尺寸亦增加積體電路製造製程的複雜度。因此為了實現積體電路裝置與其效能的持續進展,積體電路製程技術亦需類似進展。
近來已導入多閘極裝置以改善閘極控制。多閘極裝置可增加閘極-通道耦合、減少關閉狀態電流、及/或減少短通道效應。多閘極裝置之一為全繞式閘極裝置,其包括的閘極結構可部分或完全延伸於通道區周圍,以接觸通道區的至少兩側。全繞式閘極裝置可大幅縮小積體電路技術、維持閘極控制、緩解短通道效應、並無縫整合至現有的積體電路製造製程。隨著全繞式閘極裝置持續縮小,n型金氧半與p型金氧半裝置對通道的需求不同所產生的挑戰,可能 劣化全繞式閘極裝置的效能。
本發明一實施例提供的半導體裝置的形成方法包括形成p型井與n型井於基板中。方法更包括形成交錯的多個第一半導體材料層與多個第二半導體材料層的一堆疊於該p型井與該n型井上,第一半導體材料層具有第一厚度,第二半導體材料層具有第二厚度,且第二厚度不同於第一厚度。方法更包括退火交錯的第一半導體材料層與第二半導體材料層的堆疊。在一些實施例中,方法更包括圖案化堆疊以形成多個鰭狀結構,鰭狀結構包括第一鰭狀結構於n型井上以及第二鰭狀結構於p型井上。方法更包括進行蝕刻製程以自第一鰭狀結構與第二鰭狀結構移除第二半導體材料層,其中蝕刻製程之後的第一鰭狀結構中的第一半導體材料層與第二鰭狀結構中的第一半導體材料層的厚度不同。方法更包括形成金屬閘極於第一鰭狀結構與第二鰭狀結構上。
在另一實施例中,半導體裝置的形成方法包括接收基板,其包括p型井與n型井。方法更包括形成多個半導體層的堆疊於基板的p型井與n型井上,半導體層的堆疊包括交錯的多個第一半導體材料層與多個第二半導體材料層。在一些實施例中,方法更包括對半導體層的堆疊進行退火製程,以自p型井驅動摻質至p型井上的第二半導體材料層中。方法更包括蝕刻半導體層的堆疊,以形成第一鰭狀結構於p型井上,並形成第二鰭狀結構於n型井上。在一些例子中,方法更包括自第一鰭狀結構與第二鰭狀結構移除第二半導體材料層,其中移除第二半導體材料層之後的第一鰭狀結構中的第一半導體材料層的厚度不同於第二鰭狀結構中的第一半導體材料層的厚度。
在又一實施例中,半導體裝置包括基板。半導體裝置更包括第一鰭狀結構,位於基板的第一區上且包括第一組的多個第一通道層,而第一通道層各自具有第一厚度。裝置更包括第二鰭狀結構,位於基板的第二區上且包括第二組的多個第二通道層,第二通道層各自具有第二厚度,且第二厚度大於第一厚度。在一些實施例中,半導體裝置更包括閘極結構,位於第一鰭狀結構與第二鰭狀結構上,並包覆第一組的第一通道層與第二組的第二通道層。
A-A,B-B,C-C,D-D:剖線
I,II:區域
t1,t2,t3,t4:厚度
100:方法
102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132,134,136:步驟
200:工件
202:基板
203A:p型井
203B:n型井
204:堆疊
206:犧牲層
206T:頂部犧牲層
208:通道層
210:鰭狀結構
210B:底部
210T:頂部
212:鰭狀物溝槽
214:硬遮罩層
216:隔離結構
218:介電鰭狀物
220:覆層
222:第一介電層
224:第二介電層
226:高介電常數的介電層
240:虛置閘極堆疊
242:虛置介電層
244:虛置閘極
246:閘極頂部硬遮罩
248:氮化矽遮罩層
250:氧化矽遮罩層
252:閘極間隔物
254:源極/汲極凹陷
258:內側間隔物結構
260:源極/汲極結構
266:閘極溝槽
270:接點蝕刻停止層
272:層間介電層
274:閘極結構
276:閘極介電層
278:閘極層
280:金屬蓋層
282:自對準蓋層
284:閘極切割結構
圖1A及1B係本發明一或多個實施例中,製造半導體裝置的方法之流程圖。
圖2係本發明一或多個實施例中,半導體裝置的透視圖。
圖3A、4A、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、及18A係本發明一或多個實施例中,圖2所示的半導體裝置於圖1A及1B的方法之多種製作階段沿著剖線A-A的剖視圖。
圖3B、4B、5B、6B、7B、8B、9B、10B、11B、12B、13B、14B、15B、16B、17B、及18B係本發明一或多個實施例中,圖2所示的半導體裝置於圖1A及1B的方法之多種製作階段沿著剖線B-B的剖視圖。
圖3C、4C、5C、6C、7C、8C、9C、10C、11C、12C、13C、14C、15C、16C、17C、及18C係本發明一或多個實施例中,圖2所示的半導體裝置於圖1A及1B的方法之多種製作階段沿著剖線C-C的剖視圖。
圖3D、4D、5D、6D、7D、8D、9D、10D、11D、12D、13D、14D、15D、16D、17D、及18D係本發明一或多個實施例中,圖2所示的半導體裝置於圖1A 及1B的方法之多種製作階段沿著剖線D-D的剖視圖。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。下述內容提供的不同實施例或實例可實施本發明的不同結構。此外,下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構的實施例中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間,使結構及另一結構不直接接觸。
此外,空間相對用語如「在...下方」、「下方」、「較低的」、「上方」、「較高的」、或類似用詞,用於描述圖式中一些元件或結構與另一元件或結構之間的關係。這些空間相對用語包括使用中或操作中的裝置之不同方向,以及圖式中所描述的方向。當裝置轉向不同方向時(旋轉90度或其他角度),則使用的空間相對形容詞也將依轉向後的方向來解釋。
本發明實施例一般關於半導體裝置與其製作方法,且特別關於在不同區域中的通道組件厚度不同的電晶體之積體電路以改善整體效能。在多種實施例中,具有不同通道組件厚度於相同基板上的全繞式閘極電晶體,置於單 一積體電路晶片中的第一區(如n型金氧半電晶體)與第二區(如p型金氧半電晶體)。第一區與第二區可彼此直接相鄰。在本發明多種實施例中,佈植不同摻質於第一區與第二區中並進行蝕刻製程,以達不同厚度的通道組件。雖然實施例包括的堆疊半導體通道層在圖式中的形式為奈米線或奈米片的通道組件,本發明實施例不限於此且可實施於其他多閘極裝置,比如其他種類的全繞式閘極電晶體或鰭狀場效電晶體。
本發明多種實施例將搭配圖1A及1B所示的形成半導體裝置的方法100之流程圖進行詳細說明。方法100僅為舉例,而非侷限本發明實施例至方法100實際說明處。可在方法100之前、之中、與之後提供額外步驟,且方法的額外實施例可置換、省略、或調換一些所述步驟。此處不詳述所有步驟以簡化說明。方法100將搭配圖2至18D說明如下,其顯示工件200於方法100的不同製作階段的部分透視圖與剖視圖。由於自工件200形成半導體裝置,工件200可依內容需要而視作半導體裝置或裝置。
在一些實施例中,工件200為積體電路晶片、單晶片系統、或其部分的一部分,其可包括多種被動與主動微電子裝置如電阻、電容器、電感、二極體、p型場效電晶體、n型場效電晶體、鰭狀場效電晶體、奈米片場效電晶體、奈米線場效電晶體、其他種類的多閘極場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極接面電晶體、橫向擴散金氧半電晶體、高電壓電晶體、高頻電晶體、記憶體裝置、其他合適構件、或上述之組合。已簡化圖2至18D使圖式清楚,以利理解本發明實施例的發明概念。工件200可添加額外結構,且工件200的其他實施例可置換、調整、或省略一歇下述結構。工件200包括n型場效電晶體所用的第一區如區域I,以及p型場效電晶體所用的第二區如區域II。
圖2顯示工件200的透視圖,而圖3A至18D顯示工件200分別沿著圖2中的剖線A-A、剖線B-B、剖線C-C、與剖線D-D的部分剖視圖。具體而言,剖線A-A與剖線B-B的部分剖視圖分別為區域I與區域II中即將形成的電晶體之通道區(比如切開通道區中的Y-Z平面,其沿著閘極結構的長度方向並垂直於通道組件的長度方向)的剖視圖。剖線C-C與剖線D-D的部分剖視圖分別為沿著區域I與區域II中即將形成的電晶體的通道組件的長度方向(比如沿著通道組件的長度方向穿過通道區並緊鄰源極/汲極區的X-Z平面)的剖視圖。在此實施例中,用語「源極」與「汲極」可互換。
如圖2與圖3A至3D所示,方法100的步驟102(圖1A)形成p型井203A與n型井203B於工件200中。工件200包括基板202。在一實施例中,基板202可為矽基板。在一些其他實施例中,基板202可包括其他半導體材料如鍺、矽鍺、或III-V族半導體材料。III-V族半導體材料的例子可包括砷化鎵、磷化銦、磷化鎵、氮化鎵、磷砷化鎵、砷化鋁銦、砷化鋁鎵、磷化鎵銦、或砷化鎵銦。在多種實施例中,基板202為自區域I連續延伸至區域II的基板,其中區域I包括p型井203A,而區域II包括n型井203B。
p型井203A的形成方法可採用離子佈植製程,以將p型摻質如硼、二氟化硼、或四氟化硼摻雜至區域I的基板202(如圖3A及3C)。離子佈植製程可取p型摻質以摻雜基板202至p型井的摻雜濃度。p型井的摻雜濃度可為約1x1016/cm3至約1x1018/cm3。在一些實施例中,p型井的摻雜濃度可較高或較低。n型井203B的形成方法可採用離子佈植製程,以將n型摻質如磷或砷摻雜至區域II的基板202(如圖3B及3D)。離子佈植製程可取n型摻質以摻雜基板202至n型井的摻雜濃度。n型井的摻雜濃度可為約1x1017/cm3至約1x1019/cm3。在一些實施例中,n 型井的摻雜濃度可較高或較低。
如圖2與圖4A至4D所示,方法100的步驟104(圖1A)形成堆疊204於工件200的基板202的區域I與區域II之上,並退火工件200。堆疊204可包括交錯的通道層208與犧牲層206於基板202上,並包括頂部犧牲層206T於犧牲層206與通道層208上。通道層208可具有厚度t1,犧牲層206可具有厚度t2,且厚度t2小於厚度t1。厚度t1可比厚度t2大了約1.4倍至約1.5倍,且可為約1.46倍。若比例大於1.5,則可能沒有足夠空間形成金屬閘極以適當地圍繞通道層208。若比例小於1.4,則可能劣化裝置效能。
犧牲層206、通道層208、與頂部犧牲層206T的沉積方法可採用磊晶製程。磊晶製程的例子可包括氣相磊晶、超高真空化學氣相沉積、分子束磊晶、及/或其他合適製程。通道層208與犧牲層206可具有不同的半導體組成。在一些實施方式中,通道層208的組成為矽,而犧牲層206的組成為矽鍺。犧牲層206中的額外鍺含量,可用於選擇性移除犧牲層206或選擇性地使犧牲層206凹陷,而實質上不蝕刻通道層208。可交錯沉積犧牲層206與通道層208,使通道層夾設於犧牲層206之間。圖2顯示交錯且垂直堆疊的三個犧牲層206與三個通道層208,其僅用於說明而非侷限本發明實施例至請求項未實際記載處。層狀物的數目取決於半導體裝置如工件200所需的通道層208的數目。在一些實施例中,通道層208的數目介於2至7之間。
頂部犧牲層206T與犧牲層206類似,其組成可為矽鍺。在一些例子中,犧牲層206與頂部犧牲層206T的組成實質上相同。頂部犧牲層206T可比犧牲層206厚,以在製作製程時保護堆疊204免於損傷。在一些例子中,頂部犧牲層206T的厚度可介於約20nm至約40nm之間,而犧牲層206的厚度可介於約4nm 至約15nm之間。
如圖4A至4D所示,方法100的步驟106(圖1A)在工件200上進行退火製程。退火製程可使區域I的p型井中的p型摻質擴散至區域I的犧牲層206中,以產生摻雜的犧牲層206。退火製程可進一步使區域II的n型井中的n型摻質擴散至區域II的犧牲層206中,以產生摻雜的犧牲層206。n型摻質與p型摻質擴散至犧牲層206中的速率可不同。n型摻質與p型摻質的擴散可能改變犧牲層206的蝕刻輪廓,使區域I的犧牲層206具有第一蝕刻速率,並使區域II的犧牲層206具有第二蝕刻速率。在一些實施例中,第一蝕刻速率不同於第二蝕刻速率。退火製程可進一步使n型摻質與p型摻質擴散至通道層208中。擴散至通道層208中的p型摻質與n型摻質的濃度,不可高到劣化通道遷移率。因此對裝置效能的效應實質上不明顯。退火製程可施加約900℃至約1000℃的溫度至工件200。退火製程亦可包括施加約10Torr至約20Torr的壓力至工件200。在一些實施例中,可在製作工件200的後續步驟進行退火製程。
如圖5A至5D所示,方法100的步驟108(圖1A)圖案化堆疊204與基板202以形成隔有鰭狀物溝槽212的鰭狀結構210。為了圖案化堆疊204與基板202,可沉積硬遮罩層214於頂部犧牲層206T上。接著圖案化硬遮罩層214,以作為圖案化頂部犧牲層206T、堆疊204、與基板202的頂部所用的蝕刻遮罩。在一些實施例中,硬遮罩層214的沉積方法可採用化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、電漿輔助原子層沉積、或另一合適的沉積方法。硬遮罩層214可為單層或多層。當硬遮罩層214為多層時,硬遮罩層214可包括墊氧化物層與墊氮化物層。在另一實施例中,硬遮罩層214可包括矽。鰭狀結構210的圖案化方法可採用合適製程,包括雙重圖案化或多重圖案化製程。一般而言,雙重 圖案化或多重圖案化製程可結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成材料層於基板上,並採用光微影製程圖案化材料層。採用自對準製程以沿著圖案化的材料層側部形成間隔物。接著移除材料層,而保留的間隔物或芯之後可用於圖案化硬遮罩層214。接著採用圖案化的硬遮罩層214作為蝕刻遮罩,可蝕刻堆疊204與基板202以形成鰭狀結構210。蝕刻製程可包括乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。
如圖5A至5D所示,鰭狀結構210可各自包括由基板202的一部分所形成的底部210B,以即由堆疊204所形成的頂部210T。頂部210T位於底部210B上。鰭狀結構210的長度方向可沿著X方向延伸,且可自基板202沿著Z方向垂直延伸。鰭狀物溝槽212可沿著Y方向分開鰭狀結構210。在一些例子中,鰭狀物溝槽212的寬度可為約20nm至約50nm,以定義相鄰的鰭狀結構210之間的空間。
如圖6至6D所示,方法100的步驟110(圖1A)形成隔離結構216於鰭狀物溝槽212中。隔離結構216可視作淺溝槽隔離結構。在形成隔離結構216的製程例子中,可沉積介電材料於工件200上,以將介電材料填入鰭狀物溝槽212。在一些實施例中,介電材料可包括四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料)。在多種實施例中,步驟110沉積介電材料的方法可為可流動的化學氣相沉積、旋轉塗佈、及/或其他合適製程。接著可薄化與平坦化沉積的介電材料,比如採用化學機械研磨製程直到露出硬遮罩層214。
在平坦化之後,可進行回蝕刻製程使沉積的介電材料凹陷,直到 堆疊204完全隆起高於隔離結構216。在所述實施例中,亦可部分地露出底部210B,如圖所示。舉例來說,步驟110的回蝕刻製程可包括濕蝕刻、乾蝕刻、反應性離子蝕刻、或其他合適的蝕刻方法。回蝕刻製程或其他合適製程(如灰化或光阻剝除)亦可移除硬遮罩層214。
如圖7A至7D、8A至8D、及9A至9D所示,方法100的步驟112(圖1A)形成介電鰭狀物218。在所述實施例中,步驟112可形成介電鰭狀物218於鰭狀物溝槽212中。形成介電鰭狀物218的製程例子可包括順應性沉積覆層220(如圖7A至7D所示),順應性沉積第一介電層222並沉積第二介電層224於鰭狀物溝槽212中(如圖8A至8D所示),以及沉積高介電常數的介電層226於第一介電層222與第二介電層224的頂部上(如圖9A至9D所示)。
覆層220沉積於工件200上,包括區域I與區域II中的堆疊204的側壁與底部210B的頂部上。在一些實施例中,覆層220的組成可與頂部犧牲層206T或犧牲層206的組成類似。在一例中,覆層220的組成可為矽鍺。上述共同的組成可使後續蝕刻製程同時選擇性地移除犧牲層206與覆層220。在一些實施例中,可採用氣相磊晶或分子束磊晶順應性地磊晶成長覆層220。如圖7A至7D所示,覆層220可選擇性地位於鰭狀物溝槽212中的露出側壁表面上。依據覆層220的選擇性成長量,可進行回蝕刻製程以露出隔離結構216。
形成介電鰭狀物218的製程例子可進一步包括依序順應性沉積第一介電層222與第二介電層224於工件200上。第一介電層222可圍繞第二介電層224。可採用化學氣相沉積、原子層沉積、或合適方法順應性沉積第一介電層222。第一介電層222襯墊鰭狀物溝槽212的側壁與下表面。接著可採用化學氣相沉積、高密度電漿化學氣相沉積、可流動的化學氣相沉積、及/或其他合適製程, 以順應性沉積第二介電層224於第一介電層222上。在一些例子中,第二介電層224的介電常數小於第一介電層222的介電常數。第一介電層222可包括矽、氮化矽、碳化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或其他合適的介電材料。在一實施例中,第一介電層222包括氧化鋁。第二介電層224可包括氧化矽、碳化矽、氮氧化矽、碳氮氧化矽、或其他合適的介電材料。在一實施例中,第二介電層224包括氧化矽。如圖8A至8D所示的一些例子,沉積第一介電層222與第二介電層224之後進行化學機械研磨製程,可移除多餘材料部分並平坦化工件200的上表面,以露出頂部犧牲層206T。
形成介電鰭狀物218的製程例子,可進一步包括沉積高介電常數的介電層226。在一些例子中,進行凹陷製程以移除第一介電層222與第二介電層224的頂部。凹陷製程可包括乾蝕刻製程、濕蝕刻製程、及/或上述之組合。在一些實施例中,控制凹陷步驟的深度(比如藉由控制蝕刻時間),以達所需的凹陷深度。在進行凹陷製程之後,可沉積高介電常數的介電層226於凹陷製程所形成的溝槽中。在一些實施例中,高介電常數的介電層226可包括氧化鉿、氧化鋯、氧化鉿鋁、氧化鉿矽、氧化釔、氧化鋁、或另一高介電常數的材料。高介電常數的介電層226的沉積方法可為化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、及/或其他合適製程。此處所述的高介電常數的介電層包括的介電材料,其介電常數大於熱氧化矽的介電常數(約3.9)。如圖9A至9D所示,沉積高介電常數的介電層226之後,可進行化學機械研磨製程以移除多餘的材料部分並平坦化工件200的上表面。一旦完成步驟112,即可定義介電鰭狀物218的下側部分(含有第一介電層222與第二介電層224的凹陷部分)與上側部分(含有高介電常數 的介電層226)。介電鰭狀物218亦可視作混合鰭狀物。
如圖10A至10D所示,方法100的步驟114(圖1A)移除鰭狀結構210中的頂部犧牲層206T。步驟114蝕刻工件200以選擇性移除頂部犧牲層206T與覆層220的一部分,以露出最頂部的通道層208而實質上不損傷介電鰭狀物218。在一些實施例中,由於頂部犧牲層206T與覆層220的組成為矽鍺,步驟114的蝕刻製程可對矽鍺具有選擇性。舉例來說,可採用選擇性濕蝕刻製程以蝕刻覆層220與頂部犧牲層206T,包括採用氫氧化銨、氫氟酸、過氧化氫、或上述之組合。在移除頂部犧牲層206T與覆層220的一部分之後,介電鰭狀物218隆起高於最頂部的通道層208。
如圖11A至11D所示,方法100的步驟116(圖1A)形成虛置閘極堆疊240於鰭狀結構210的通道區上。在一些實施例中,採用閘極置換製程(或閘極後製製程),其中虛置閘極堆疊240作為功能閘極結構所用的占位物。其他製程與設置亦屬可能。在所述實施例中,虛置閘極堆疊240包括虛置介電層242與虛置閘極244位於虛置介電層242上。為了圖案化目的,可沉積閘極頂部硬遮罩246於虛置閘極堆疊240上。閘極頂部硬遮罩246可為多層,且可包括氮化矽遮罩層248與氧化矽遮罩層250位於氮化矽遮罩層248上。虛置閘極堆疊240之下的鰭狀結構210的區域可視作通道區。鰭狀結構210中的通道區各自夾設於兩個源極/汲極區之間。源極/汲極區用於形成源極/汲極,如下所述。在製程的例子中,可由化學氣相沉積毯覆性沉積虛置介電層242於工件200上。接著毯覆性沉積虛置閘極244所用的材料層於虛置介電層242上。接著可採用光微影製程圖案化虛置介電層242與虛置閘極244所用的材料層,以形成虛置閘極堆疊240。在一些實施例中,虛置介電層242可包括氧化矽,而虛置閘極244可包括多晶矽。
如圖11A至11D所示,方法100的步驟118(圖1A)沿著虛置閘極堆疊240的側壁形成閘極間隔物252。閘極間隔物252可包括兩個或更多閘極間隔物層。閘極間隔物252所用的介電材料選擇,可選擇性移除虛置閘極堆疊240。合適的介電材料可包括氮化矽、碳氮氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽、氮氧化矽、及/或上述之組合。在製程的例子中,可採用化學氣相沉積、次壓化學氣相沉積、或原子層沉積以順應性地沉積閘極間隔物252於工件200上,接著非等向蝕刻閘極間隔物252以移除水平部分而保留閘極間隔物252的垂直部分於虛置閘極堆疊240的側壁上。
如圖12A至12D所示,方法100的步驟120(圖1A)使鰭狀結構210的源極/汲極區凹陷,以形成源極凹陷與汲極凹陷(可一起視作源極/汲極溝槽或源極/汲極凹陷254)。採用虛置閘極堆疊240與閘極間隔物252作為蝕刻遮罩,可非等向蝕刻工件200以形成源極/汲極凹陷254於鰭狀結構210的源極/汲極區上。在所述實施例中,步驟118自區域I與區域11中的源極/汲極區移除犧牲層206、通道層208、與覆層220,以露出底部210B。步驟120的非等向蝕刻可包括乾蝕刻製程。舉例來說,乾蝕刻製程可實施氫氣、含氟氣體(四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。
如圖13A至13D所示,方法100的步驟122形成內側間隔物結構258。在一些實施例中,步驟122先選擇性地使源極/汲極凹陷254中露出的犧牲層206部分凹陷,以形成內側間隔物凹陷,且實質上不蝕刻露出的通道層208。在一實施例中,通道層208實質上由矽組成,而犧牲層206實質上由矽鍺組成,則 選擇性地使犧牲層206部分凹陷的製程可包括矽鍺氧化製程與之後的矽鍺氧化物移除製程。在此實施例中,矽鍺氧化製程可包括採用臭氧。在一些其他實施例中,部分凹陷的步驟可包括選擇性蝕刻製程如選擇性乾蝕刻或選擇性濕蝕刻,且可由蝕刻製程的時間控制犧牲層206的凹陷量。選擇性乾蝕刻製程可採用一或多種氟為主的蝕刻劑,比如氟氣或碳氫氟化物。選擇性濕蝕刻製程可包括氫氧化銨、氫氟酸、過氧化氫、或上述之組合(APM蝕刻包括氫氧化銨、過氧化氫、與水的混合物。)。在形成內側間隔物凹陷之後,可採用化學氣相沉積或原子層沉積順應性沉積內側間隔物材料層於工件200上,包括內側間隔物凹陷之中與之上。內側間隔物材料可包括氮化矽、碳氮氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽、或氮氧化矽。在沉積內側間隔物材料層之後,可回蝕刻內側間隔物材料層以形成內側間隔物結構258,如圖13A至13D所示。
如圖13A至13D所示,方法100的步驟124形成源極/汲極結構260。可選擇性磊晶沉積源極/汲極結構260於源極/汲極凹陷254中的底部210B與通道層208其露出的半導體表面上。源極/汲極結構260的沉積方法可採用磊晶製程,比如氣相磊晶、超高真空化學氣相沉積、分子束磊晶、及/或其他合適製程。源極/汲極結構260可包括鍺、矽、砷化鎵、砷化鋁鎵、矽鍺、磷砷化鎵、磷化矽、或其他合適材料。在一些實施例中,在磊晶製程時可原位摻雜源極/汲極結構260。舉例來說,一些實施例的磊晶成長的矽鍺源極/汲極結構可摻雜硼。在一些例子中,磊晶成長矽的磊晶源極/汲極結構可摻雜碳以形成摻雜碳的矽源極/汲極結構,可摻雜磷以形成摻雜磷的矽源極/汲極結構,或摻雜碳與磷以形成摻雜碳與磷的矽源極/汲極結構。在一些實施例中,不原位摻雜源極/汲極結構260,而改為進行佈植製程以摻雜源極/汲極結構260。蝕刻製程可包括乾蝕刻、濕蝕刻、 反應性離子蝕刻、及/或其他合適製程。
如圖14A至14D所示,方法100的步驟126(圖1A)沉積接點蝕刻停止層270與層間介電層272於工件200上。在製程的例子中,先順應性沉積接點蝕刻停止層270於工件200上,接著毯覆性沉積層間介電層272於接點蝕刻停止層270上。接點蝕刻停止層270可包括氮化矽、氧化矽、氮氧化矽、及/或本技術領域已知的其他材料。接點蝕刻停止層270的沉積方法可採用原子層沉積、電漿輔助化學氣相沉積製程、及/或其他合適的沉積或氧化製程。在一些實施例中,層間介電層272包括材料如四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料。層間介電層272的沉積方法可為旋轉塗佈、可流動的化學氣相沉積、或其他合適的沉積技術。一些實施例在形成層間介電層272之後,可退火工件200以改善層間介電層272的完整性。為了移除多餘材料(包括閘極頂部硬遮罩246)並露出虛置閘極堆疊240的虛置閘極244的上表面,可對工件200進行平坦化製程如化學機械研磨製程以提供平坦上表面。
如圖15A至15D所示,方法100的步驟128(圖1A)選擇性移除虛置閘極堆疊240。可由選擇性蝕刻製程自工件200移除步驟126所露出的虛置閘極堆疊240。選擇性蝕刻製程可為選擇性濕蝕刻製程、選擇性乾蝕刻製程、或上述之組合。在所述實施例中,選擇性蝕刻製程可選擇性移除虛置介電層242與虛置閘極244,而實質上不損傷通道層208與閘極間隔物252。移除虛置閘極堆疊240造成閘極溝槽266位於通道區上。在移除虛置閘極堆疊240之後,閘極溝槽266中露出通道區之中的通道層208、犧牲層206、與覆層220。
如圖16A至16D所示,方法100的步驟130(圖1A)自閘極溝槽266移 除犧牲層206與覆層220,以釋放通道層208。可進行全面蝕刻製程,以選擇性移除犧牲層206與覆層220。如上所述,步驟106的退火製程可使p型井的p型摻質擴散至區域I中的犧牲層206中,並使n型井中的n型摻質擴散至區域II中的犧牲層206中。如此一來,區域I中的犧牲層206具有第一蝕刻速率,區域II中的犧牲層206具有第二蝕刻速率,且第二蝕刻速率不同於第一蝕刻速率。在進行蝕刻製程時,可移除犧牲層206與覆層220。區域I中的釋放的通道層208的厚度t3為約8nm至約12nm。區域II中的釋放的通道層208的厚度t4為約9nm至約13nm。厚度t4可比厚度t3多約0.3nm至約0.8nm(如約0.5nm)。厚度差異來自於不同摻雜的犧牲層的蝕刻速率不同。舉例來說,區域I中的犧牲層206的蝕刻速率大於區域II中的犧牲層206的蝕刻速率。在移除區域I中的所有犧牲層206之後,蝕刻劑可移除區域I中的通道層208的一部分。這造成區域II中的通道層208的厚度t4大於區域I中的通道層208的厚度。通道層208的厚度差異可平衡汲極誘發能障下降與有效驅動電流,以改善裝置速度。此外,n型金氧半與p型金氧半裝置的物理高度不受影響,因為蝕刻犧牲層206的步驟造成通道層208的厚度。
步驟130所釋放的通道層208亦可視作通道組件。在所述實施例中,通道組件如通道層208符合片狀或奈米片,且通道組件釋放製程亦可視作片狀物形成製程。通道組件如通道層208可沿著Z方向垂直堆疊。所有的通道組件如通道層208與介電鰭狀物218橫向隔有覆層220的厚度所定義的距離。選擇性移除犧牲層206與覆層220的實施方式可為選擇性乾蝕刻、選擇性濕蝕刻、或其他選擇性蝕刻製程。在一些實施例中,選擇性濕蝕刻包括氫氧化銨、氟化氫、過氧化氫、或上述組合(APM蝕刻可包含氫氧化銨、過氧化氫、與水的混合物)。在一些其他實施例中,選擇性移除包括氧化矽鍺,之後移除矽鍺氧化物。舉例來 說,可在臭氧清潔提供氧化之後,採用蝕刻劑如氫氧化銨移除矽鍺氧化物。
如圖17A至17D所示,方法100的步驟132(圖1B)形成閘極結構274於閘極溝槽266中,以接合通道組件如通道層208。閘極結構274亦可視作功能閘極結構或金屬閘極結構。在區域I及II中,個別的閘極結構274可包覆每一通道組件如通道層208。閘極結構274可各自包括閘極介電層276位於通道組件如通道層208上,以及閘極層278位於閘極介電層276上。在一些實施例中,閘極介電層276包括界面層與高介電常數的介電層。界面層可包括氧化矽,其可由預清潔製程所形成。預清潔製程的例子可包括採用RCA SC-1(氨、過氧化氫、與水)及/或RCA SC-2(氯化氫、過氧化氫、與水)。預清潔製程可氧化通道組件如通道層208的露出表面,以形成界面層。
接著採用原子層沉積、化學氣相沉積、及/或其他合適方法,沉積高介電常數的介電層於界面層上。高介電常數的介電層可包括高介電常數的介電材料。在一實施例中,高介電常數的介電層可包括氧化鉿。高介電常數的介電層可改為包括其他高介電常數的介電層,比如氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、二氧化鋯、氧化鋯矽、氧化鑭、氧化鋁、氧化鋯、氧化釔、鈦酸鍶、鈦酸鋇、氧化鋇鋯、氧化鉿鑭、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、鈦酸鋇鍶、氮化矽、氮氧化矽、上述之組合、或其他合適材料。在形成閘極介電層276之後,可沉積閘極層278於閘極介電層276上。閘極層278可為多層結構,其包含至少一功函數層與金屬填充層。舉例來說,至少一功函數層可包括氮化鈦、鈦鋁、氮化鈦鋁、氮化鉭、鉭鋁、氮化鉭鋁、碳化鉭鋁、碳氮化鉭、或碳化鉭。金屬填充層可包括鋁、鎢、鎳、鈦、釕、鈷、鉑、氮化鉭矽、銅、其他耐火金屬、其他合適的金屬材料、或上述之組合。在多種實施例中,閘極層278 的形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍、或其他合適製程。雖然未圖示,但可沉積閘極結構274如接合閘極結構,接著進行回蝕刻直到介電鰭狀物218將接合閘極結構分成彼此分開的閘極結構274。介電鰭狀物218亦可提供電性隔離於相鄰的閘極結構274之間。回蝕刻閘極結構274的步驟可包括選擇性濕蝕刻製程,其可採用硝酸、氯化氫、硫酸、氫氧化銨、過氧化氫、或上述之組合。雖然所述實施例在回蝕刻製程之後的閘極結構274的上表面可與高介電常數的介電層226的下表面齊平,其他實施例在回蝕刻製程之後的閘極結構274的上表面可低於高介電常數的介電層226的下表面。回蝕刻閘極結構274的方法亦可包括回蝕刻通道區中的介電鰭狀物218的高介電常數的介電層226。
如圖18A至18D所示,方法100的步驟134(圖1B)形成金屬蓋層280、自對準蓋層282、與閘極切割結構284於工件200的前側上。在一些實施例中,金屬蓋層280可包括鈦、氮化鈦、氮化鉭、鎢、釕、鈷、或鎳,且其沉積方法可採用物理氣相沉積、化學氣相沉積、或有機金屬化學氣相沉積。在一實施例中,金屬蓋層280包括鎢如無氟鎢,且其沉積方法可為物理氣相沉積。在一些其他實施例中,金屬蓋層280的沉積方法為有機金屬化學氣相沉積,以選擇性沉積金屬蓋層280於閘極結構274上。在沉積金屬蓋層280之後,可沉積自對準蓋層282於工件200上,其沉積方法可為化學氣相沉積、電漿輔助化學氣相沉積、或其他合適的沉積製程。自對準蓋層282可包括氧化矽、氮化矽、碳化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或其他合適的介電材料。接著進行光微影製程與蝕刻製程,可蝕刻沉積的自對準蓋層282以形成閘極蓋開口而露出介電鰭狀物218的上表 面,包括移除高介電常數的介電層226。之後可沉積並以化學機械研磨製程平坦化介電材料,以形成閘極切割結構284於閘極切割開口中。閘極切割結構284的介電材料的沉積方法可採用高密度電漿化學氣相沉積、化學氣相沉積、原子層沉積、或合適的沉積技術。在一些例子中,閘極切割結構284可包括氧化矽,氮化矽、碳化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或其他合適的介電材料。在一些實施例中,閘極切割結構284與自對準蓋層282可具有不同組成以導入蝕刻選擇性。
如圖18A至18D所示,方法100的步驟136(圖1B)對工件200進行後續製作製程。舉例來說,可進行後段製程以形成內連線層如前側電源軌於工件200的前側上。在一實施例中,可採用鑲嵌製程、雙鑲嵌製程、金屬圖案化製程、或其他合適製程形成前側電源軌。前側電源軌可包括鎢、鈷、鉬、釕、銅、鎳、鈦、鉭、氮化鈦、氮化鉭、或其他金屬,且其沉積方法可為化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、或其他合適製程。步驟136亦可包括形成鈍化層於工件200的前側上、進行其他後段製程、並移除背側載板。
如圖18A至18D所示,一旦完成方法100,即可分別形成多個全繞式閘極電晶體於區域I與區域II中。全繞式閘極電晶體可各自包括閘極結構274以接合一或多個通道組件如通道層208。相鄰的全繞式閘極電晶體可彼此電性隔有介電鰭狀物218以及著陸於介電鰭狀物218上的閘極切割結構284。具體而言,區域I中的全繞式閘極電晶體的通道組件如通道層208,比區域II中的全繞式閘極電晶體的通道組件如通道層208薄,進而平衡裝置效能如汲極誘發能障下降與有效驅動電流。此效能平衡有利於改善互補式金氧半裝置中的速度效能。藉由蝕刻達到通道組件厚度差異,可更佳地控制厚度而不影響n型金氧半區與p型金氧半 區之間的能障負載(如裝置高度),其可能發生在採用磊晶成長製程控制厚度的情況。
本發提供許多不同實施例。舉例來說,本發明一實施例提供的半導體裝置的形成方法包括形成p型井與n型井於基板中。方法更包括形成交錯的多個第一半導體材料層與多個第二半導體材料層的一堆疊於該p型井與該n型井上,第一半導體材料層具有第一厚度,第二半導體材料層具有第二厚度,且第二厚度不同於第一厚度。方法更包括退火交錯的第一半導體材料層與第二半導體材料層的堆疊。在一些實施例中,方法更包括圖案化堆疊以形成多個鰭狀結構,鰭狀結構包括第一鰭狀結構於n型井上以及第二鰭狀結構於p型井上。方法更包括進行蝕刻製程以自第一鰭狀結構與第二鰭狀結構移除第二半導體材料層,其中蝕刻製程之後的第一鰭狀結構中的第一半導體材料層與第二鰭狀結構中的第一半導體材料層的厚度不同。方法更包括形成金屬閘極於第一鰭狀結構與第二鰭狀結構上。
在一些實施例中,第一厚度與第二厚度的比例為約1.4至約1.5。
在一些實施例中,退火步驟使n型井中的多個第一摻質擴散至p型井上的第二半導體材料層中,並使p型井中的多個第二摻質擴散至n型井上的第二半導體材料層中。
在一些實施例中,第一摻質降低p型井上的第二半導體材料層的蝕刻速率。
在一些實施例中,蝕刻步驟更包括在移除p型井上的第二半導體材料層之後,蝕刻p型井上的第一半導體材料層的一部分。
在一些實施例中,蝕刻製程為全面蝕刻製程,其同時進行於第一 鰭狀結構與第二鰭狀結構上。
在一些實施例中,形成p型井的步驟更包括:進行離子佈植製程以摻雜硼或四氟化硼至基板。
在另一實施例中,半導體裝置的形成方法包括接收基板,其包括p型井與n型井。方法更包括形成多個半導體層的堆疊於基板的p型井與n型井上,半導體層的堆疊包括交錯的多個第一半導體材料層與多個第二半導體材料層。在一些實施例中,方法更包括對半導體層的堆疊進行退火製程,以自p型井驅動摻質至p型井上的第二半導體材料層中。方法更包括蝕刻半導體層的堆疊,以形成第一鰭狀結構於p型井上,並形成第二鰭狀結構於n型井上。在一些例子中,方法更包括自第一鰭狀結構與第二鰭狀結構移除第二半導體材料層,其中移除第二半導體材料層之後的第一鰭狀結構中的第一半導體材料層的厚度不同於第二鰭狀結構中的第一半導體材料層的厚度。
在一些實施例中,移除第二半導體材料層的步驟更包括:同時自第一鰭狀結構移除第二半導體材料層的第一部分,並自第二鰭狀結構移除第二半導體材料層的第二部分,其中第一部分的移除速率大於第二部分的移除速率;以及自第一鰭狀結構移除第一半導體材料層的一部分。
在一些實施例中,方法更包括移除第一鰭狀結構的第一半導體材料層的部分,直到第一鰭狀結構的第一半導體材料層比第二鰭狀結構的第一半導體材料層薄約0.5nm。
在一些實施例中,退火製程更包括自n型井驅動摻質至n型井上的第二半導體材料層中。
在一些實施例中,形成半導體層的堆疊的步驟更包括形成第一厚 度的第一半導體材料層;以及形成第二厚度的第二半導體材料層,其中第一厚度大於第二厚度。
在一些實施例中,第一厚度比第二厚度大了約1.4倍至約1.5倍。
在一些實施例中,方法更包括形成三個第一半導體材料層。
在又一實施例中,半導體裝置包括基板。半導體裝置更包括第一鰭狀結構,位於基板的第一區上且包括第一組的多個第一通道層,而第一通道層各自具有第一厚度。裝置更包括第二鰭狀結構,位於基板的第二區上且包括第二組的多個第二通道層,第二通道層各自具有第二厚度,且第二厚度大於第一厚度。在一些實施例中,半導體裝置更包括閘極結構,位於第一鰭狀結構與第二鰭狀結構上,並包覆第一組的第一通道層與第二組的第二通道層。
在一些實施例中,第一區更包括p型井位於基板之中與第一鰭狀結構之下。
在一些實施例中,第二區更包括n型井位於基板之中與第二鰭狀結構之下。
在一些實施例中,半導體裝置更包括第二鰭狀結構的第二通道層之間的距離,且第二厚度比距離大了約1.4倍。
在一些實施例中,第一厚度比距離大了不到約1.4倍。
在一些實施例中,第一鰭狀結構與第二鰭狀結構等高。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未 脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
D-D:剖線
200:工件
202:基板
208:通道層
252:閘極間隔物
258:內側間隔物結構
260:源極/汲極結構
270:接點蝕刻停止層
272:層間介電層
274:閘極結構
276:閘極介電層
278:閘極層
280:金屬蓋層
282:自對準蓋層

Claims (10)

  1. 一種半導體裝置的形成方法,包括:形成一p型井與一n型井於一基板中;形成交錯的多個第一半導體材料層與多個第二半導體材料層的一堆疊於該p型井與該n型井上,該些第一半導體材料層具有一第一厚度,該些第二半導體材料層具有一第二厚度,且該第二厚度不同於該第一厚度;退火交錯的該些第一半導體材料層與該些第二半導體材料層的該堆疊;圖案化該堆疊以形成多個鰭狀結構,該些鰭狀結構包括一第一鰭狀結構於該n型井上以及一第二鰭狀結構於該p型井上;進行一蝕刻製程以自該第一鰭狀結構與該第二鰭狀結構移除該些第二半導體材料層,其中該蝕刻製程之後的該第一鰭狀結構中的該些第一半導體材料層與該第二鰭狀結構中的該些第一半導體材料層的厚度不同;以及形成一金屬閘極於該第一鰭狀結構與該第二鰭狀結構上。
  2. 如請求項1之半導體裝置的形成方法,其中該第一厚度與該第二厚度的比例為約1.4至約1.5。
  3. 如請求項1或2之半導體裝置的形成方法,其中退火步驟使該n型井中的多個第一摻質擴散至該n型井上的該些第二半導體材料層中,並使該p型井中的多個第二摻質擴散至該p型井上的該些第二半導體材料層中。
  4. 如請求項3之半導體裝置的形成方法,其中該些第一摻質降低該n型井上的該些第二半導體材料層的蝕刻速率。
  5. 一種半導體裝置的形成方法,包括:接收一基板,其包括一p型井與一n型井; 形成多個半導體層的一堆疊於該基板的該p型井與該n型井上,該些半導體層的該堆疊包括交錯的多個第一半導體材料層與多個第二半導體材料層;對該些半導體層的該堆疊進行一退火製程,以自該p型井驅動摻質至該p型井上的該些第二半導體材料層中;蝕刻該些半導體層的該堆疊,以形成一第一鰭狀結構於該p型井上,並形成一第二鰭狀結構於該n型井上;以及自該第一鰭狀結構與該第二鰭狀結構移除該些第二半導體材料層,其中移除該些第二半導體材料層之後的該第一鰭狀結構中的該些第一半導體材料層的厚度不同於該第二鰭狀結構中的該些第一半導體材料層的厚度。
  6. 如請求項5之半導體裝置的形成方法,其中移除該些第二半導體材料層的步驟更包括:同時自該第一鰭狀結構移除該些第二半導體材料層的一第一部分,並自該第二鰭狀結構移除該些第二半導體材料層的一第二部分,其中該第一部分的移除速率大於該第二部分的移除速率;以及自該第一鰭狀結構移除該些第一半導體材料層的一部分。
  7. 如請求項6之半導體裝置的形成方法,更包括移除該第一鰭狀結構的該些第一半導體材料層的該部分,直到該第一鰭狀結構的該些第一半導體材料層比該第二鰭狀結構的該些第一半導體材料層薄約0.5nm。
  8. 一種半導體裝置,包括:一基板;一第一鰭狀結構,位於該基板的一第一區上且包括一第一組的多個第一通道層,而該些第一通道層各自具有一第一厚度,其中該些第一通道層具有一p型摻 質;一第二鰭狀結構,位於該基板的一第二區上且包括一第二組的多個第二通道層,該些第二通道層各自具有一第二厚度,且該第二厚度大於該第一厚度,其中該些第二通道層具有一n型摻質;以及一閘極結構,位於該第一鰭狀結構與該第二鰭狀結構上,並包覆該第一組的該些第一通道層與該第二組的該些第二通道層。
  9. 如請求項8之半導體裝置,其中該第一區更包括一p型井位於該基板之中與該第一鰭狀結構之下。
  10. 如請求項8或9之半導體裝置,其中該第二區更包括一n型井位於該基板之中與該第二鰭狀結構之下。
TW111122177A 2021-07-08 2022-06-15 半導體裝置與其形成方法 TWI822111B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163219636P 2021-07-08 2021-07-08
US63/219,636 2021-07-08
US17/662,380 US20230010541A1 (en) 2021-07-08 2022-05-06 Gate all around device and method of forming the same
US17/662,380 2022-05-06

Publications (2)

Publication Number Publication Date
TW202303746A TW202303746A (zh) 2023-01-16
TWI822111B true TWI822111B (zh) 2023-11-11

Family

ID=84799038

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111122177A TWI822111B (zh) 2021-07-08 2022-06-15 半導體裝置與其形成方法

Country Status (3)

Country Link
US (1) US20230010541A1 (zh)
CN (1) CN218482246U (zh)
TW (1) TWI822111B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11355363B2 (en) * 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200098879A1 (en) * 2018-09-26 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack gaa device
US20200168715A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-All-Around (GAA) Method and Devices

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10930794B2 (en) * 2018-06-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned spacers for multi-gate devices and method of fabrication thereof
US11538927B2 (en) * 2021-01-28 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructures and method for manufacturing the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200098879A1 (en) * 2018-09-26 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack gaa device
US20200168715A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-All-Around (GAA) Method and Devices

Also Published As

Publication number Publication date
TW202303746A (zh) 2023-01-16
US20230010541A1 (en) 2023-01-12
CN218482246U (zh) 2023-02-14

Similar Documents

Publication Publication Date Title
TWI692104B (zh) 半導體裝置與其製作方法
TWI777634B (zh) 半導體裝置及其形成方法
TWI570915B (zh) 半導體裝置以及製造鰭式場效電晶體裝置的方法
KR20190062131A (ko) 반도체 구조물 커팅 프로세스 및 그로 인하여 형성된 구조물
TW202036907A (zh) 半導體裝置
TW202127663A (zh) 半導體裝置
TW202220210A (zh) 半導體裝置
TWI828962B (zh) 半導體裝置及其形成方法
TW202027220A (zh) 半導體結構及其形成方法
TW202217974A (zh) 半導體裝置及其形成方法
TW202201558A (zh) 製造半導體裝置的方法
TWI801864B (zh) 半導體裝置及其形成方法
TWI822111B (zh) 半導體裝置與其形成方法
TWI799185B (zh) 半導體結構與其形成方法
TWI807706B (zh) 半導體裝置及其製造方法
TWI805260B (zh) 半導體裝置及其製造方法
US20230163075A1 (en) Semiconductor Device and Method
TWI807398B (zh) 半導體裝置與其形成方法
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
TW202236437A (zh) 半導體裝置的形成方法
KR20220154598A (ko) 반도체 디바이스 및 제조 방법
US11515403B2 (en) Semiconductor device and method
KR20210141312A (ko) 반도체 디바이스 및 방법
US12002719B2 (en) Gapfill structure and manufacturing methods thereof
US12080597B2 (en) Semiconductor devices and methods of manufacture