TWI807398B - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TWI807398B
TWI807398B TW110131194A TW110131194A TWI807398B TW I807398 B TWI807398 B TW I807398B TW 110131194 A TW110131194 A TW 110131194A TW 110131194 A TW110131194 A TW 110131194A TW I807398 B TWI807398 B TW I807398B
Authority
TW
Taiwan
Prior art keywords
layer
source
region
gate
drain
Prior art date
Application number
TW110131194A
Other languages
English (en)
Other versions
TW202232765A (zh
Inventor
鄭嶸健
江國誠
朱熙甯
陳冠霖
王志豪
程冠倫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202232765A publication Critical patent/TW202232765A/zh
Application granted granted Critical
Publication of TWI807398B publication Critical patent/TWI807398B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823493MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Transistors (AREA)

Abstract

提供半導體裝置與其形成方法。在一實施例中,半導體裝置包括第一電晶體與第二電晶體。第一電晶體包括兩個第一源極/汲極結構;以及第一數目的奈米結構,彼此垂直堆疊且沿著長度方向延伸於上述兩個第一源極/汲極結構之間。第二電晶體包括兩個第二源極/汲極結構;以及第二數目的奈米結構,彼此垂直堆疊且沿著長度方向延伸於上述兩個第二源極/汲極結構之間。

Description

半導體裝置與其形成方法
本發明實施例關於半導體裝置,更特別關於具有不同數目的通道組件的多橋通道電晶體。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(如採用的製作製程所產生的最小構件或線路)縮小而增加。尺寸縮小的製程通常有利於增加產能並降低相關成本。尺寸縮小亦增加處理與製造積體電路的複雜度。
舉例來說,隨著積體電路技術朝更小的技術節點進展,已導入多閘極金氧半場效電晶體或多閘極裝置以增加閘極-通道耦合、降低關閉狀態電流、並減少短通道效應,進而改善閘極控制。多閘極裝置通常可視作具有閘極結構或其部分位於通道區的多側上的裝置。多橋通道電晶體為多閘極裝置的一粒。多橋通道電晶體的閘極結構可部分或完全延伸於通道區周圍,以提供通路至通道區的兩側或更多側上。由於多橋通道電晶體的閘極結構圍繞通道區,其亦可視作圍繞閘極電晶體或全繞式閘極電晶體。
由於具有不同設置的多橋通道電晶體的效能特性不同,其可適用於不同電路功能。雖然現有的多橋通道電晶體與其形成方法通常符合預期目的,但無法符合所有方面的需求。
本發明一實施例關於半導體裝置。半導體裝置包括第一電晶體與第二電晶體。第一電晶體包括兩個第一源極/汲極結構;以及第一數目的奈米結構,彼此垂直堆疊且沿著長度方向延伸於上述兩個第一源極/汲極結構之間。第二電晶體包括:兩個第二源極/汲極結構;以及第二數目的奈米結構,彼此垂直堆疊且沿著長度方向延伸於上述兩個第二源極/汲極結構之間。第一數目小於第二數目。
本發明另一實施例關於半導體裝置。半導體裝置包括第一數目的通道組件,位於第一鰭狀結構上;第一閘極結構,包覆第一數目的通道組件的每一者;第二數目的通道組件,位於第二鰭狀結構上,且第二數目大於第一數目;第二閘極結構,包覆第二數目的通道組件的每一者;介電鰭狀物,沿著第一方向位於第一閘極結構與第二閘極結構之間;以及隔離結構,沿著第一方向位於第一鰭狀結構與第二鰭狀結構之間。介電鰭狀物包括第一下表面與低於第一下表面的第二下表面。
本發明又一實施例關於半導體裝置的形成方法。方法包括提供基板,其包括第一區與第二區;選擇性形成第一抗擊穿層於第一區上;選擇性形成第二抗擊穿層於第二區中;沉積半導體層的第一堆疊於第一區與第二區上;自第二區選擇性移除半導體層的第一堆疊;在選擇性移除步驟之後,選擇性沉積半導體層的第二堆疊於第二區上;圖案化半導體層的第一堆疊與半導體層的第二堆疊,以形成第一鰭狀結構於第一區上,並形成第二鰭狀結構於第二區上;在圖案化步驟之後,沉積隔離結構於基板上;以及選擇性回蝕刻第二區上的隔離結構,使第一區上的隔離結構具有第一厚度,第二區上的隔離結構具有第二厚度,且第二厚度小於第一厚度。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或例子可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。裝置亦可轉動90∘或其他角度,因此方向性用語僅用以說明圖示中的方向。
此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,旨在涵蓋合理範圍內的數值,如本技術領域中具有通常知識者考量到製造過程中產生的固有變化。舉例來說,基於與製造具有與數值相關的已知製造容許範圍,數值或範圍涵蓋包括所述數目的合理範圍,例如在所述數目的+/- 10%以內。舉例來說,材料層的厚度為約5 nm且本技術領域中具有通常知識者已知沉積材料層的製造容許範圍為15%時,其包含的尺寸範圍為4.25 nm至5.75 nm。
多橋通道電晶體可包含多個通道組件以作為通道,以及閘極結構包覆每一通道組件。通道組件的型態可為奈米線、奈米片、奈米棒、或其他奈米結構。具有不同數目的通道組件的多橋通道電晶體可具有不同特性。舉例來說,具有兩個通道組件的多橋通道電晶體可與具有三個通道組件的多橋通道電晶體相比。三個通道組件的多橋通道電晶體的額外通道組件有助於促進開啟狀態電流,但閘極結構與汲極之間的重疊增加會增加寄生電容並降低速度。兩個通道組件的多橋通道電晶體具有較小的開啟狀態電流,但具有較小的寄生電容。由此可知三個通道組件的多橋通道電晶體更適於高電流應用,而兩個通道組件的多橋通道電晶體更適於高速交流電應用。本發明實施例提供的方法可形成混合裝置,其多橋通道電晶體可具有不同數目的通道組件。本發明實施例的方法可解決在基板的不同區域中形成不同多橋通道電晶體的多種製程挑戰。
本發明多種實施例將搭配圖式詳述如下。圖1A及1B一起顯示形成半導體裝置的方法100之流程圖。方法100僅用於舉例,而非侷限本發明實施例至方法100實際說明的步驟。在方法100之前、之中、與之後可提供額外步驟,且方法的額外實施例可置換、省略、或調換一些所述步驟。此處未說明所有步驟以簡化說明。方法100將搭配圖2至30說明如下,其顯示方法100的實施例之多種製作階段的工件200之部分剖視圖。由於自工件200形成半導體裝置,工件200可依內容需要而視作半導體裝置或半導體結構。在圖2至30中,X方向、Y方向、與Z方向彼此垂直且所指的方向一致。此外,在下述內容中可採用類似標號標示類似結構。
如圖1A及2所示,方法100可視情況包含步驟102,其形成第一抗擊穿層204於基板202的第一區202A上。如圖2所示,對含有基板202的工件200進行方法100的步驟。在一實施例中,基板202可為矽基板。在一些其他實施例中,基板202可包含其他半導體材料如鍺、矽鍺、或III-V族半導體材料。III-V族半導體材料的例子可包含砷化鎵、磷化銦、磷化鎵、氮化鎵、磷砷化鎵、砷化鋁銦、砷化鋁鎵、磷化鎵銦、或砷化鎵銦。基板202亦可包含絕緣層如氧化矽層,以具有絕緣層上矽結構或絕緣層上鍺結構。基板202包含第一區202A與第二區202B,用於形成不同設置的多橋通道電晶體。
步驟102形成第一圖案化的遮罩203於工件200上,以覆蓋第二區202B並露出第一區202A。第一圖案化的遮罩203可作為離子佈植遮罩,且可包含光阻、金屬層、底抗反射塗層、或含碳層。為了形成第一抗擊穿層204於第一區202A上,可對工件200進行第一離子佈植製程300,而第一圖案化的遮罩203保護第二區202B。第一離子佈植製程300設置以形成靠近第一區202A的上表面之第一抗擊穿層204。在一些實施例中,第一離子佈植製程300的第一離子能量介於約2 KeV至約100 KeV之間,第一離子劑量介於約1x10 13離子/cm 2至約1x10 15離子/cm 2之間,且第一溫度介於約600℃至約1100℃之間。第一抗擊穿層204的厚度可由最小活化濃度大於或等於1x10 18離子/cm 3的區域之深度所定義。在一些例子中,第一抗擊穿層204的厚度介於約10 nm至約30 nm之間,且其檢測方法可採用能量散射X射線光譜儀。依據製作於第一區202A與第二區202B上的多橋通道電晶體的導電型態,第一離子佈植製程300可佈植不同摻質物種,且第一抗擊穿層204可包含不同摻質物種。舉例來說,當製作的多橋通道電晶體為n型時,第一抗擊穿層204包含p型摻質如硼或氟化硼。當製作的多橋通道電晶體為p型時,第一抗擊穿層204包含n型摻質如磷或砷。在第一離子佈植製程300之後,可由灰化或選擇性蝕刻等方法移除第一圖案化的遮罩203。
如圖1A及3所示,方法100可視情況包含步驟104,其形成第二抗擊穿層206於基板202的第二區202B中。為了選擇性形成第二抗擊穿層206於第二區202B中,可形成第二圖案化的遮罩205於工件200上以覆蓋第一區202A並露出第二區202B。與第一圖案化的遮罩203類似,第二圖案化的遮罩205可作為離子佈植遮罩,且可包含光阻、金屬層、或含碳層。接著對工件200進行第二離子佈植製程302,並以第二圖案化的遮罩205保護第一區202A。第二離子佈植製程302設置為自與第二區202B的上表面距離一段深度d的第二抗擊穿層206。在一些實施例中,第二離子佈植製程302的第二離子能量介於約5 KeV至約150 KeV之間,第二離子劑量介於約1x10 13離子/cm 2至約1x10 15離子/cm 2之間,且第二溫度介於約600℃至約1100℃之間。第二離子能量大於第一離子能量,且第二離子劑量大於第一離子劑量。第二抗擊穿層206的厚度可由最小活化濃度大於或等於1x10 18離子/cm 3的區域之深度所定義。在一些例子中,第二抗擊穿層206的厚度介於約10 nm至約30 nm之間,且其檢測方法可採用能量散射X射線光譜儀。依據製作於第一區202A與第二區202B上的多橋通道電晶體的導電型態,第二離子佈植製程302可佈植不同摻質物種,且第二抗擊穿層206可包含不同摻質物種。舉例來說,當製作的多橋通道電晶體為n型時,第二抗擊穿層206包含p型摻質如硼或氟化硼。當製作的多橋通道電晶體為p型時,第二抗擊穿層206包含n型摻質如磷或砷。在第二離子佈植製程302之後,可由灰化或選擇性蝕刻等方法移除第二圖案化的遮罩205。如圖3所示,在深度d具有第二抗擊穿層206時,第二區202B中的第二抗擊穿層206上的基板202的部分可視作覆蓋層2020。覆蓋層2020與第二抗擊穿層206包含相同摻質物種,但覆蓋層2020中的摻質濃度低於1x10 18離子/cm 3
如圖1A及4所示,方法100的步驟106形成第一堆疊210A於基板202的第一區202A與第二區202B上。第一堆疊210A包括交錯的第一數目(N1)的通道層208與犧牲層207。在所述實施例中,第一堆疊210A包含交錯的兩個通道層與兩個犧牲層以及一個最頂部的犧牲層207T。最頂部的犧牲層207T可比其他犧牲層207厚,以在後續的製程步驟中保護下方的通道層208。在一些實施方式中,通道層208的組成可為矽,而犧牲層207 (包括最頂部的犧牲層207T)的組成可為矽鍺。在這些實施方式中,犧牲層207 (或最頂部的犧牲層207T)中的額外鍺含量可用於選擇性移除犧牲層207或使其凹陷,且實質上不損傷第一數目的通道層208。犧牲層207、最頂部的犧牲層207T、與第一數目的通道層208之沉積方法可採用磊晶製程。在一些實施例中,磊晶沉積第一堆疊210A的方法可採用化學氣相沉積技術(如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、及/或其他合適製程。一個接一個交錯沉積犧牲層207 (或最頂部的犧牲層207T)與通道層208,以形成第一堆疊210A。值得注意的是,圖4顯示交錯的垂直配置的兩個犧牲層207與兩個通道層208,但其僅用於說明目的而非侷限本發明實施例至請求項未實際記載處。通道層208的第一數目N1可介於2至7之間。如圖4所示,第一堆疊210A直接沉積於第一區202上的第一抗擊穿層204上,且直接沉積於第二區202B上的覆蓋層2020上。
如圖1A及5所示,方法100的步驟108選擇性移除第二區202B上的第一堆疊210A。在圖5所示的實施例中,形成第三圖案化的遮罩209於工件200上,以覆蓋第一區202A上的第一堆疊210A,並露出第二區202B上的第一堆疊210A。第三圖案化的遮罩209可為圖案化的光阻層、圖案化的底抗反射塗層、氧化矽層、氮化矽層、或上述之組合。在第三圖案化的遮罩209存在下,可對工件200進行乾蝕刻製程,其實施氫氣、含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、三氟化氮、三氟化硼、氟仿、及/或六氟乙烷)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。在圖5所示的實施例中,步驟108的乾蝕刻製程不只移除第二區202B中的第一堆疊210A,亦移除覆蓋層2020以露出第二抗擊穿層206。
如圖1A及6所示,方法100的步驟110形成第二堆疊210B於第二區202B上。由於第三圖案化的遮罩209仍存在,第二堆疊210B沉積於第二區202B上的第二抗擊穿層206上。第二堆疊210B包括交錯的第二數目的通道層208與犧牲層207。在所述實施例中,第二堆疊210B包括交錯的三個通道層與三個犧牲層以及一個最頂部的犧牲層207T。第二堆疊210B中的最頂部的犧牲層207T比其他犧牲層207厚,以在後續製程步驟中保護下方的通道層208。在一些實施方式中,通道層208的組成為矽,而犧牲層207 (含最頂部的犧牲層207T)的組成為矽鍺。在這些實施方式中,犧牲層207 (或最頂部的犧牲層207T)中的額外鍺含量可用於選擇性移除犧牲層207或使其凹陷,而實質上不損傷第二數目的通道層208。犧牲層207、最頂部的犧牲層207T、與第二數目的通道層208的沉積方法,可採用磊晶製程。在一些實施例中,磊晶沉積第二堆疊210B的方法可採用化學氣相沉積技術(如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、及/或其他合適製程。由於磊晶特性,第二堆疊210B中的層狀物不會沉積於第三圖案化的遮罩209上。可一個接一個交錯沉積犧牲層207 (或最頂部的犧牲層207T)與通道層208,以形成第二堆疊210B。值得注意的是,雖然圖6顯示交錯地垂直配至三個犧牲層207與三個通道層208以及一個最頂部的犧牲層207T,其僅用於說明目的而非侷限本發明實施例至請求項未實際記載處。第二數目N2大於第一數目N1。在一些實施例中,第二堆疊210B可比第一堆疊210A包含一個額外的通道層208。通道層208的第二數目N2可介於1至6之間。如圖6所示,第二堆疊210B直接沉積於第二區202B上的第二抗擊穿層206上。在沉積第二堆疊210B之後,可由灰化或選擇性蝕刻等方法移除第三圖案化的遮罩209。
如圖1A及7所示,方法100的步驟112圖案化第一堆疊210A與第二堆疊210B以形成第一鰭狀結構214A於第一區202A上,並形成第二鰭狀結構214B於第二區202B上。為了圖案化第一堆疊210A、第二堆疊210B、與基板202的一部分,可形成第四圖案化的遮罩212於工件200上。接著採用第四圖案化的遮罩212作為蝕刻遮罩,並蝕刻工件200以形成第一鰭狀結構214A於第一區202A上,並形成第二鰭狀結構214B於第二區202B上。第四圖案化的遮罩212可為單層或多層。當第四圖案化的遮罩212為多層時,第四圖案化的遮罩212可包含第一層與位於第一層上的第二層。在一實施例中,第一層可為墊氧化物層,而第二層可為墊氮化物層。如圖7所示,第一鰭狀結構214A包含第一鰭狀結構214AF、第一抗擊穿層204,與第一堆疊210A中的層狀物。第二鰭狀結構214B包含第二鰭狀結構214BF、第二抗擊穿層206、與第二堆疊210B中的層狀物。自第一區202A中的基板202形成第一鰭狀結構214AF,其亦可視作第一基底部分。自第二區202B中的基板202形成第二鰭狀結構214BF,其亦可視作第二基底部分。由於步驟112的圖案化步驟移除第一抗擊穿層204或第二抗擊穿層206的主要部分,第一鰭狀結構214A中的第一抗擊穿層204與第二鰭狀結構214B中的第二抗擊穿層206,亦可分別視作第一抗擊穿結構與第二抗擊穿結構。第一鰭狀結構214A與第二鰭狀結構214B中的最頂部的犧牲層207T,可具有相同厚度且以相同標號標示。
如圖7所示,第一鰭狀結構214A與第二鰭狀結構214B的長度方向沿著Y方向延伸,並沿著Z方向自基板202垂直延伸。第一鰭狀結構214A與第二鰭狀結構214B的圖案化方法,可採用合適製程如雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成材料層於基板上,並採用光微影製程圖案化材料層。採用自對準製程以沿著圖案化的材料層側部形成間隔物。接著移除材料層,而保留的間隔物或芯之後可用於圖案化第四圖案化的遮罩212。接著採用第四圖案化的遮罩212作為蝕刻遮罩,並蝕刻第一堆疊210A、第二堆疊210B、與基板202,以形成第一鰭狀結構214A與第二鰭狀結構214B。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。形成第一鰭狀結構214A與第二鰭狀結構214B的乾蝕刻製程,可採用氫氣、含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、三氟化氮、三氟化硼、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。
如圖1A及8所示,方法100的步驟114形成隔離結構216。在形成第一鰭狀結構214A與第二鰭狀結構214B之後,圖8所示的隔離結構216形成於相鄰的第一鰭狀結構214A之間或相鄰的第二鰭狀結構214B之間(如圖10至36所示)。隔離結構216亦可視作淺溝槽隔離結構216。在製程的例子中,先沉積隔離結構216所用的介電材料於工件200上,以將介電材料填入相鄰的第一鰭狀結構214A之間或相鄰的第二鰭狀結構214B之間的溝槽。在一些實施例中,介電材料可包含氧化矽、氮化矽、氮氧化矽、氟矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或其他合適材料。在多種例子中,介電材料的沉積方法可為化學氣相沉積製程、次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、旋轉塗佈、及/或其他合適製程。接著可薄化與平坦化沉積的介電材料,比如採用化學機械研磨製程。可由乾蝕刻製程使平坦化的介電材料進一步凹陷,以形成隔離結構216。如圖8所示,凹陷步驟之後的第一鰭狀結構214A與第二鰭狀結構214B隆起高於隔離結構216,而隔離結構216圍繞第一基底部分如第一鰭狀結構214AF與第二基底部分如第二鰭狀結構214BF。化學機械研磨製程及/或凹陷製程可移除第四圖案化的遮罩212。
如圖1A及9所示,方法100的步驟116使第二區202B中的隔離結構216選擇性凹陷,以形成凹陷的隔離結構2160於第二區202B中。為了使第二區202B中的隔離結構216選擇性凹陷,可形成第五圖案化的遮罩217於工件200上,以覆蓋第一區202A並露出第二區202B。在一些實施例中,第五圖案化的遮罩217可為光阻層或底抗反射塗層。步驟116的選擇性凹陷製程對隔離結構216的組成具有選擇性,其可包括含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、三氟化氮、三氟化硼、氟仿、及/或六氟乙烷)、合適的氣體物種、或上述之組合。在一些實施例中,步驟116的選擇性凹陷可使第二區202B中的隔離結構216的厚度減少差異D。在一些例子中,差異D可介於約10 nm至約30 nm之間。差異D為通道層208的厚度與犧牲層207的厚度總和的倍數。舉例來說,當所述實施例中的第一數目N1與第二數目N2之間的差異為1,差異D等於通道層208的厚度與犧牲層207的厚度總和。當第一數目N2與第二數目N2的差距為2時,差異D等於披覆層208的厚度與犧牲層207的厚度的總合的兩倍。在步驟116的選擇性凹陷製程之後,形成凹陷的隔離結構2160於第二區202B中,而第五圖案化的遮罩217維持保護第一區202中的隔離結構216。在形成凹陷的隔離結構2160之後,移除第五圖案化的遮罩217。
如圖1A及10所示,方法100的步驟118形成披覆層218於第一鰭狀結構214A與第二鰭狀結構214B上。在一些實施例中,披覆層218的組成可與犧牲層207的組成類似。在一例中,披覆層218的組成可為矽鍺。此基本組成可在後續製程中,用於選擇性移除犧牲層207與披覆層218。在一些實施例中,披覆層218順應性地磊晶成長的方法可採用氣相磊晶或分子束磊晶。如圖10所示,披覆層218選擇性地位於第一鰭狀結構214A與第二鰭狀結構214B的露出表面上,但不位於隔離結構216或凹陷的隔離結構2160的表面上。
如圖1A、11、及12所示,方法100的步驟120形成介電鰭狀物。步驟112形成第一介電鰭狀物2220於第一溝槽2191中,並形成第二介電鰭狀物2222於第二溝槽2192中。在所述實施例中,第一介電鰭狀物2220與第二介電鰭狀物2222各自包括多個層狀物。在製程的例子中,順應性地沉積襯墊層220於工件200上,包括沉積於第一溝槽2191與第二溝槽2192中,如圖11所示。襯墊層220的沉積方法可採用電漿輔助化學氣相沉積、原子層沉積、或合適方法。襯墊層220可襯墊第一溝槽2191與第二溝槽2192的側壁與下表面。接著可沉積填充層222於工件200上的襯墊層220上,且沉積方法可採用化學氣相沉積、次壓化學氣相沉積、可流動的化學氣相沉積、原子層沉積、旋轉塗佈、及/或其他合適製程。在一些例子中,襯墊層220的介電常數大於填充層222的介電常數。襯墊層220可包含矽、氮化矽、碳化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化呂、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或合適的介電材料。填充層222可包含氧化矽、碳化矽、氮氧化矽、碳氮氧化矽、或合適的介電材料。在沉積襯墊層220與填充層222之後,可採用平坦化製程如化學機械研磨製程以平坦化工件200,直到移除披覆層218上的填充層222與襯墊層220的部分,如圖11所示。如圖12所示,平坦化製程之後可使填充層222與襯墊層220選擇性且部分地凹陷,以形成相鄰的披覆層218所定義的凹陷。接著沉積蓋層224於工件200上。蓋層224可包含氮化矽、碳化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或合適的介電材料。接著採用化學機械研磨製程以平坦化工件200並移除披覆層218上的多餘蓋層224。此時可實質上形成第一介電鰭狀物2220與第二介電鰭狀物2222。第一介電鰭狀物2220與第二介電鰭狀物2222可各自包含蓋層224位於襯墊層220與填充層222上。在一實施例中,襯墊層220包含氮化矽,填充層222包含氧化矽,而蓋層224包含氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、或氧化鉿。第一介電鰭狀物2220與第二介電鰭狀物2222的垂直高度,可取決於隔離結構216或凹陷的隔離結構2160的高度。由於凹陷的隔離結構2160比隔離結構216低了高度差異D,第二介電鰭狀物2222的高度比第一介電鰭狀物2220的高度高出高度差異D。
如圖1A及13所示,方法100的步驟122移除第一區202A與第二區202B上的最頂部的犧牲層207T。如圖13所示,移除最頂部的犧牲層207T與披覆層218的一部分。由於披覆層218與最頂部的犧牲層207T的組成均為矽鍺,步驟122移除最頂部的犧牲層207T的方法可採用對矽鍺具有選擇性的蝕刻製程。在一實施例中,步驟122的蝕刻製程可包含氫氧化銨、過氧化氫、與水的混合物的蝕刻。在另一實施例中,步驟122的蝕刻製程可包含選擇性乾蝕刻製程,其採用一或多種的氟為主的蝕刻劑如氟氣或碳氫氟化物。如圖13所示,移除最頂部的犧牲層207T可露出第一區202A與第二區202B中的最頂部的通道層208。
如圖1A及14所示,方法100的步驟124形成第一虛置閘極堆疊231A於第一鰭狀結構214A的通道區上,並形成第二虛置閘極堆疊231B於第二鰭狀結構214B的通道區上。在一些實施例中,可採用閘極置換製程(或閘極後製製程),而第一虛置閘極堆疊231A與第二虛置閘極堆疊231B可作為功能閘極結構所用的占位物。其他製程與設置亦屬可能。值得注意的是,雖然第一虛置閘極堆疊231A與第二虛置閘極堆疊231B以不同標號標示,其可為自第一區202A至第二區202B的相同虛置閘極堆疊。第一虛置閘極堆疊231A與第二虛置閘極堆疊231B可各自包含虛置介電層225於個別的介電鰭狀物(如第一介電鰭狀物2220與第二介電鰭狀物2222)的表面上與披覆層218與最頂部的通道層208的露出表面上,以及位於虛置介電層225上的虛置閘極226。為了圖案化目的,可採用氮化矽遮罩層228以及其上的氧化矽遮罩層230。第一虛置閘極堆疊231A與第二虛置閘極堆疊231B之下的第一鰭狀結構214A與第二鰭狀結構214B的區域,可視作通道區。為了方便說明,第一區202A中的通道區標示為第一通道區202A-C,而第二區202B中的通道區標示為第二通道區202B-C。在製程的例子中,毯覆性沉積虛置介電層225於工件200上的方法可為化學氣相沉積。接著可毯覆性沉積虛置閘極226所用的材料層於虛置介電層225上。接著採用光微影製程圖案化虛置介電層225與虛置閘極226所用的材料層,以形成第一虛置閘極堆疊231A與第二虛置閘極堆疊231B。在些實施例中,虛置介電層225可包含氧化矽,而虛置閘極226可包含多晶矽。
雖然未圖示於圖14,步驟124亦可沉積第一閘極間隔物246與第二閘極間隔物(如圖25所示)。第一閘極間隔物246與第二閘極間隔物248的組成可為介電材料,以利選擇性地移除第一虛置閘極堆疊231A與第二虛置閘極堆疊231B。第一閘極間隔物246與第二閘極間隔物248所用的合適介電材料可包含氮化矽、碳氮氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽、氮氧化矽、及/或上述之組合。在製程的例子中,第一閘極間隔物246與第二閘極間隔物248可順應性地沉積於工件200上,且沉積方法可採用化學氣相沉積、次壓化學氣相沉積、或原子層沉積。
如圖1A、15、及16所示,方法100的步驟126使第一鰭狀結構214A的第一源極/汲極區202A-SD凹陷以形成第一源極/汲極凹陷232,並使第二鰭狀結構214B的第二源極/汲極區202B-SD凹陷以形成第二源極/汲極凹陷234。可分開形成第一源極/汲極凹陷232與第二源極/汲極凹陷234,以避免因不同的層狀堆疊而造成不同區中的源極/汲極凹陷不等高。在製程的例子中,先形成圖案化的遮罩層(未圖示)於第二區202B上。以第一虛置閘極堆疊231A、第一閘極間隔物246 (如圖25所示)、與第二閘極間隔物248 (如圖25所示)作為蝕刻遮罩,可非等向蝕刻工件200的第一區202A以形成第一源極/汲極凹陷232於第一源極/汲極區202A-SD上,如圖15所示。接著移除第二區202B上的圖案化的遮罩層,並形成另一圖案化的遮罩層233於第一區202A上,以露出第二區202B。以第二虛置閘極堆疊231B、第一閘極間隔物246 (如圖25所示)、與第二閘極間隔物248 (如圖25所示)作為蝕刻遮罩,可非等向蝕刻工件200的第二區202B,以形成第二源極/汲極凹陷234於第二源極/汲極區202B-SD上,如圖16所示。步驟126的非等向蝕刻可包含乾蝕刻製程或合適的蝕刻製程。舉例來說,乾蝕刻製程可實施含氧氣體、氫氣、含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。如圖15及16所示,步驟124的非等向蝕刻不只移除第一源極/汲極區202A-SD中的第一鰭狀結構214A與第二源極/汲極區202B-SD中的第二鰭狀結構214B,亦移除第一抗擊穿層204與第二抗擊穿層206。在圖25及26中,步驟126露出第一源極/汲極凹陷232中的第一鰭狀結構214AF的上表面,與第二源極/汲極凹陷234中的第二鰭狀結構214BF的上表面。
雖然圖15及16未圖示,但可形成內側間隔物結構251以蓋住第一通道區202A-C與第二通道區202B-C中的犧牲層207的末端表面。由於圖案化的遮罩層覆蓋第二區202B與第一源極/汲極凹陷232所露出的第一通道區202A-C中的犧牲層207與通道層208的側壁表面,可使犧牲層207選擇性地部分凹陷以形成內側間隔物凹陷,而實質上未蝕刻露出的通道層208。在通道層208基本上由矽組成,且犧牲層207基本上由矽鍺組成,且披覆層218基本上由矽鍺組成的一實施例中,使犧牲層207與披覆層218選擇性且部分地凹陷的步驟,可包含矽鍺氧化製程與之後的矽鍺氧化物移除製程。在這些實施例中,矽鍺氧化製程可採用臭氧。在一些其他實施例中,選擇性凹陷步驟可包含選擇性等向蝕刻製程(如選擇性乾蝕刻製程或選擇性濕蝕刻製程),而犧牲層207與披覆層218的凹陷量由蝕刻製程的時間所控制。選擇性乾蝕刻製程可採用一或多種氟為主的蝕刻劑,比如氟氣或碳氫氟化物。選擇性濕蝕刻製程可包含氫氧化銨、過氧化氫、與水的混合物的蝕刻。在形成內側間隔物凹陷之後,接著順應性沉積內側間隔物材料層於工件200上(包含內側間隔物凹陷以及移除披覆層218的部分所留下的空間之上與之中),且沉積方法採用化學氣相沉積或原子層沉積。內側間隔物材料可包含氮化矽、碳氮氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽、或氮氧化矽。在沉積內側間隔物材料層之後,可回蝕刻內側間隔物材料層以形成內側間隔物結構251,如圖25所示。在形成內側間隔物結構251於第一區中之後,可對第二區202B進行類似製程以形成內側間隔物結構251於第二區202B中,如圖25所示。
如圖1A及17所示,方法100可視情況包含步驟128,其形成虛置磊晶層236於第一源極/汲極凹陷232與第二源極/汲極凹陷234中。虛置磊晶層236可包含未刻意摻雜的矽。換言之,虛置磊晶層236可包含未摻雜的矽。虛置磊晶層236的沉積方法可採用磊晶製程如氣相磊晶、超高真空化學氣相沉積、分子束磊晶、及/或其他合適製程。如圖17所示,虛置磊晶層236沉積於第一鰭狀結構214AF與第二鰭狀結構214BF的上表面上。沉積虛置磊晶層236的步驟對半導體材料具有選擇性,只有少量或無虛置磊晶層236可形成於第一介電鰭狀物2220與第二介電鰭狀物2222的表面上。再不含虛置磊晶層236的實施例中,可省略步驟128。由於虛置磊晶層236未摻雜,其具有高電阻且可用於避免源極/汲極漏電流至基體基板202中。在形成虛置磊晶層236與抗擊穿層的實施例中,其可一起避免不想要的漏電流至基板202中。如圖17所示,由於第一鰭狀結構214AF與第二鰭狀結構214BF之間的高度差異D,第一區202A中的虛置磊晶層236的下表面,比第二區202B中的虛置磊晶層236的下表面高出高度差異D。在一些例子中,高度差異D可介於約10 nm至約30 nm之間。
如圖1B、17、及18所示,方法100的步驟130形成第一源極/汲極結構238於第一源極/汲極凹陷232中,並形成第二源極/汲極結構240於第二源極/汲極凹陷234中。在第一區202A中,選擇性地磊晶沉積第一源極/汲極結構238於第一源極/汲極凹陷232中的虛置磊晶層236與通道層208其露出的半導體表面上,在第二區202B中,選擇性地磊晶沉積第二源極/汲極結構240於第二源極/汲極凹陷234中的虛置磊晶層236與通道層208其露出的半導體表面上。第一源極/汲極結構238或第二源極/汲極結構240的沉積方法可採用磊晶製程,比如氣相磊晶、超高真空化學氣相沉積、分子束磊晶、及/或其他合適製程。第一源極/汲極結構238或第二源極/汲極結構240可為n型或p型。當第一源極/汲極結構238與第二源極/汲極結構240為n型時,其可包含矽且可摻雜n型摻質如磷或砷。當第一源極/汲極結構238與第二源極/汲極結構240為p型時,其可為矽鍺且可摻雜p型摻質如硼或二氟化硼。摻雜第一源極/汲極結構238與第二源極/汲極結構240的方法,可為在沉積時的原位摻雜,或採用佈植製程(如接面佈植製程)的非原位摻雜。雖然未圖示,第一源極/汲極結構238與第二源極/汲極結構240可各自包含不同摻質濃度(甚至是不同摻質物種)的多層。值得注意的是,第一源極/汲極結構238與第二源極/汲極結構240具有不同高度,其可適當地同時形成,因為其形成方法包括自通道層208的側壁(或基板202的垂直側壁)大幅橫向成長。一些例子在形成第一源極/汲極結構238與第二源極/汲極結構240時,第一源極/汲極結構238與第二源極/汲極結構240靠近第一源極/汲極結構238與第二源極/汲極結構240的中心線處的部分,可自通道層208的側壁或基板202的垂直側壁橫向成長並合併。如圖18所示,由於第一鰭狀結構214AF與第二鰭狀結構214BF之間的高度差異D,第一源極/汲極結構238的下表面比第二源極/汲極結構240的下表面高出高度差異D。在一些例子中,高度差亦D可介於約10 nm至約30 nm之間。
如圖17所示,當步驟128沉積虛置磊晶層236時,第一源極/汲極結構238與第二源極/汲極結構240沉積於虛置磊晶層236上。如圖18所示,在省略虛置磊晶層236時,第一源極/汲極結構238與第二源極/汲極結構240直接沉積於第一鰭狀結構214AF與第二鰭狀結構214BF的上表面上。
如圖1B及19所示,方法100的步驟132沉積接點蝕刻停止層242與層間介電層244於工件200上。在製程的例子中,可先順應性沉積接點蝕刻停止層242於工件200上,接著毯覆性沉積層間介電層244於接點蝕刻停止層242上。接點蝕刻停止層242可包含氮化矽、氧化矽、氮氧化矽、及/或本技術領域已知的其他材料。接點蝕刻停止層242的沉積方法可採用原子層沉積、電漿輔助化學氣相沉積製程、及/或其他合適的沉積或氧化製程。在一些實施例中,層間介電層244包括的材料可為四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、摻雜氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、或硼矽酸鹽玻璃)、及/或其他合適的介電材料。層間介電層244的沉積方法可為旋轉塗佈、可流動的化學氣相沉積、或其他合適的沉積技術。一些實施例在形成層間介電層244之後,可退火工件200以改善層間介電層244的完整性。為了移除多餘材料,可對工件200進行平坦化製程如化學機械研磨製程,以提供平坦上表面,如圖19所示。平坦上表面可露出第一虛置閘極堆疊231A與第二虛置閘極堆疊231B的上表面。
如圖1B及20所示,方法100的步驟134移除第一虛置閘極堆疊231A與第二虛置閘極堆疊231B,並釋放通道組件2080。步驟134自工件200移除步驟132所露出的第一虛置閘極堆疊231A與第二虛置閘極堆疊231B,且移除方法可為選擇性蝕刻製程。選擇性蝕刻製程可為選擇性濕蝕刻製程、選擇性乾蝕刻製程、或上述之組合。在所述實施例中,選擇性蝕刻製程可選擇性移除虛置介電層225與虛置閘極226,而實質上不損傷第一介電鰭狀物2220與第二介電鰭狀物2222。移除第一虛置閘極堆疊231A與第二虛置閘極堆疊231B,可露出第一通道區202A-C與第二通道區202B-C中的披覆層218、通道層208、與犧牲層207。接著選擇性移除第一通道區202A-C與第二通道區202B-C中的披覆層218與犧牲層207,以釋放通道層208而形成通道組件2080,如圖20所示。通道組件2080沿著Z方向垂直堆疊。選擇性移除犧牲層207與披覆層218的方法,可為選擇性乾蝕刻、選擇性濕蝕刻、或其他選擇性蝕刻製程。在一些實施例中,選擇性濕蝕刻包括氫氧化銨、過氧化氫、與水的混合物的蝕刻。在一些其他實施例中,選擇性移除包括矽鍺氧化製程與後續的矽鍺氧化物移除製程。舉例來說,臭氧清潔可提供氧化效果,而矽鍺氧化物的移除方法可採用蝕刻劑如氫氧化銨。移除通道區中的犧牲層207與披覆層218,可露出第一通道區202A-C中的第一閘極溝槽243與第二通道區202B-C中的第二閘極溝槽245中的通道組件2080、第一抗擊穿層204的上表面、第二抗擊穿層206的上表面、隔離結構216、與凹陷的隔離結構2160。如圖20所示,第一閘極溝槽243中露出兩個通道組件2080,而第二閘極溝槽245中露出三個通道組件2080。在未形成第一抗擊穿層204與第二抗擊穿層206時,第一閘極溝槽243與第二閘極溝槽245中分別露出第一鰭狀結構214AF與第二鰭狀結構214BF的上表面。
如圖1B、21、22、及23所示,方法100的步驟136形成第一閘極結構258於第一區202A上,並形成第二閘極結構260於第二區202B上。第一閘極結構258與第二閘極結構260可各自包含界面層252位於通道組件2080上、閘極介電層254位於界面層252上、與閘極層256位於閘極介電層254上。在一些實施例中,界面層252可包含氧化矽,且可由預清潔製程所形成。預清潔製程的例子可採用RCA SC-1 (如氨、過氧化氫、與水)及/或RCA SC-2 (氯化氫、過氧化氫、與水)。預清潔製程可氧化通道組件2080的露出部分,以形成界面層252。接著沉積閘極介電層254於界面層252上,且沉積方法可採用原子層沉積、化學氣相沉積、及/或其他合適方法。閘極介電層254可包含高介電常數的介電材料。此處所述的高介電常數的介電材料,其介電常數可大於氧化矽的介電常數(約3.9)。在一實施例中,閘極介電層254可包含氧化鉿。在其他實施例中,閘極介電層254可包含其他高介電常數的介電材料,比如氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、氧化鋯矽、氧化鑭、氧化鋁、氧化鋯、氧化釔、鈦酸鍶、鈦酸鋇、氧化被鋯、氧化鉿鑭、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、鈦酸鋇鍶、氮化矽、氮氧化矽、上述之組合、或其他合適材料。在形成或沉積界面層252與閘極介電層254之後,可沉積閘極層256於閘極介電層254上。閘極層256可為多層結構,其包含至少一功函數層與金屬填充層。舉例來說,至少一功函數層可包含氮化鈦、鈦鋁、氮化鈦鋁、氮化鉭、鉭鋁、氮化鉭鋁、碳化鉭鋁、碳氮化鉭、或碳化鉭。金屬填充層可包含鋁、鎢、鎳、鈦、釕、鈷、鉑、氮化鉭矽、銅、其他耐火金屬、其他合適的金屬材料、或上述之組合。在多種實施例中,閘極層256的形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍、或其他合適製程。在多種實施例中,可進行平坦化製程如化學機械研磨製程,以移除多餘材料而提供閘極結構的實質上平坦上表面。如圖22所示,界面層252、閘極介電層254、與閘極層256包覆每一通道組件2080,且隔有第一介電鰭狀物2220與第二介電鰭狀物2222。
如圖23所示,接著回蝕刻閘極層256,直到第一介電鰭狀物2220與第二介電鰭狀物2222隆起高於閘極層256,以定義第一閘極結構258於第一通道區202A-C上,並定義第二閘極結構260於第二通道區202B-C上。在所述實施例中,回蝕刻閘極層256直到蓋層224隆起高於閘極層256。如圖23所示,第一通道區202A-C中的每一第一閘極結構258包覆兩個垂直堆疊的通道組件2080,而第二通道區202B-C中的每一第二閘極結構260包覆兩個垂直堆疊的通道組件2080。在所述實施例中,第一閘極結構258與第二閘極結構260分別直接地位於第一抗擊穿層204與第二抗擊穿層206上。在未形成第一抗擊穿層204與第二抗擊穿層206的實施例中,第一閘極結構258與第二閘極結構260分別直接地位於第一鰭狀結構214AF與第二鰭狀結構214BF上。在一些其他實施例中,回蝕刻閘極層256的步驟亦回蝕刻蓋層224。
如圖1B與圖24至26所示,方法100的步驟138沉積第一閘極頂部金屬層262於第一閘極結構258與第二閘極結構260上。步驟138沉積第一閘極頂部金屬層262於工件200上,包括沉積於露出的第一閘極結構258、第二閘極結構260、與蓋層224上。在一些實施例中,第一閘極頂部金屬層262可包含鈦、氮化鈦、氮化鉭、鎢、釕、鋁、銅、鈷、鎳、或合適金屬。第一閘極頂部金屬層262的沉積方法可採用化學氣相沉積或有機金屬化學氣相沉積。在一實施例中,第一閘極頂部金屬層262可包含無氟鎢,且其沉積方法可採用有機金屬化學氣相沉積。在沉積第一閘極頂部金屬層262之後,可回蝕刻第一介電鰭狀物2220與第二介電鰭狀物2222,如圖24所示。沿著X方向觀之,第一閘極頂部金屬層262位於第一閘極間隔物246之間,如圖25及26所示。在圖25所示的實施例中,形成虛置磊晶層236、第一抗擊穿層204、與第二抗擊穿層206。在圖25中,虛置磊晶層236直接接觸第一抗擊穿層204與第二抗擊穿層206。由於虛置磊晶層236的存在,第一源極/汲極結構238與第一抗擊穿層204分開。類似地,第二源極/汲極結構240可與第二抗擊穿層206分開。在圖26所示的實施例中,在未形成虛置磊晶層236時,第一源極/汲極結構238可直接接觸第一抗擊穿層204,而第二源極/汲極結構240可接觸第二抗擊穿層206。
在一些其他實施例中(未圖示),回蝕刻蓋層224與閘極層256,且第一閘極頂部金屬層262毯覆性沉積於工件200上並連續地延伸於第一介電鰭狀物2220與第二介電鰭狀物2222上。
如圖1B及27所示,方法100的步驟140形成閘極切割結構264。閘極切割結構264亦可視作切割金屬閘極結構,因其可用於分離以其他方式電性連接的閘極部分。在一些實施例中,沉積材料層於工件200上,並形成閘極切割開口於材料層中。接著沉積閘極切割結構264所用的材料至閘極切割開口中。在化學機械研磨製程移除材料層上的多餘材料之後,可移除材料層並留下閘極切割結構264。材料層可為光阻層、底抗反射塗層、氧化矽層、或矽層。在一實施例中,材料層可為矽層。閘極切割結構264可包含氮化矽、氮氧化矽、或金屬氧化物。在一實施例中,閘極切割結構264的組成可為氮化矽。如圖27所示,閘極切割結構264直接形成於第一介電鰭狀物2220與第二介電鰭狀物2222上。
如圖1B及28所示,方法100的步驟142形成第二閘極頂部金屬層與第三閘極頂部金屬層268於第一閘極頂部金屬層262上。在一些實施例中,第二閘極頂部金屬層可包含鈦、氮化鈦、氮化鉭、鎢、釕、鋁、鈷、或鎳,而第三閘極頂部金屬層268為無氟鎢層。在製程的例子中,先沉積第二閘極頂部金屬層於工件200上,且沉積方法可採用物理氣相沉積或化學氣相沉積。接著回蝕刻沉積的第二閘極頂部金屬層,以移除閘極切割結構264的上表面上的第二閘極頂部金屬層,使閘極切隔結構264可分開第二閘極頂部金屬層成多個部分。第二閘極頂部金屬層可視作第三閘極頂部金屬層268所用的晶種層。接著選擇性沉積第三閘極頂部金屬層268於第二閘極頂部金屬層上,且沉積方法可採用有機金屬化學氣相沉積或化學氣相沉積。一旦完成步驟142,閘極切割結構264分開第二閘極頂部金屬層與第三閘極頂部金屬層268成多個部分。在不形成閘極切割結構264的區域中,第二閘極頂部金屬層與第三閘極頂部金屬層268可越過介電鰭狀物(如第一介電鰭狀物2220與第二介電鰭狀物2222),以作為耦接相鄰閘極結構的局部內連線。
在回蝕刻蓋層224與閘極層256的實施例中,可不形成第二閘極頂部金屬層與第三閘極頂部金屬層268。在這些實施例中,可沉積圖案化的矽硬遮罩層於第一閘極頂部金屬層262上,並採用圖案化的矽硬遮罩層以蝕刻第一閘極頂部金屬層262而形成閘極切割開口。接著沉積介電材料至閘極切割開口中,以形成閘極切割結構264。
如圖1B及29所示,方法100的步驟144形成自對準蓋層270於第三閘極頂部金屬層268上。在一些實施例中,自對準蓋層270可包含氧化矽、氮化矽、碳化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或合適的介電材料。自對準蓋層270的沉積方法可採用化學氣相沉積、原子層沉積、電漿輔助原子層沉積、或合適方法。在沉積自對準蓋層270之後,可進行化學機械研磨以移除多餘的自對準蓋層270。如圖29所示,自對準蓋層270沉積於第三閘極頂部金屬層268上,而閘極切割結構264仍分開自對準蓋層270。
一旦完成步驟144,第一多橋通道電晶體400位於第一區202A上(比如第一通道區202A-C位於第一區202A中),而第二多橋通道電晶體500位於第二區202B上(比如第二通道區220B-C位於第二區202B中),如圖29所示。在所述實施例中,第一多橋通道電晶體400包括第一閘極結構258所包覆的兩個垂直堆疊的通道組件2080。相鄰的第一多橋通道電晶體400隔有第一介電鰭狀物2220與閘極切割結構264。第一多橋通道電晶體400的兩個垂直堆疊的通道組件2080,可沿著Y方向延伸於兩個第一源極/汲極結構238之間(如圖19或29所示)。第二多橋通道電晶體500包括第二閘極結構260所包覆的三個垂直堆疊的通道組件2080。相鄰的第二多橋通道電晶體500隔有第二介電鰭狀物2222與閘極切割結構264。第二多橋通道電晶體500的三個垂直堆疊的通道組件2080沿著Y方向延伸於兩個第二源極/汲極結構240之間,如圖19或29所示。與第二多橋通道電晶體500相較,第一多橋通道電晶體400少了一個通道組件2080且第一閘極結構258較小,且第一閘極結構258與相鄰的第一源極/汲極結構238的重疊面積較小而具有較小的寄生閘極-汲極電容。較小的寄生閘極-汲極電容可使第一多橋通道電晶體400在交流電應用中較快地切換。與第一多橋通道電晶體400相較,第二多橋通道電晶體具有一個額外的通道組件2080以導通開啟狀態電流,因此具有較大的開啟狀態電流。較大的開啟狀態電流可使第二多橋通道電晶體500更適於高電流或高效能計算的應用。值得注意的是,本發明實施例不限於只含有三個通道組件的多橋通道電晶體與兩個通道組件的多橋通道電晶體。本發明實施例實施的半導體裝置可具有至少兩種多橋通道電晶體,其具有不同數目的通道組件。
如圖1B及30所示,方法100的步驟146形成第一源極/汲極接點274與第二源極/汲極接點276。在形成自對準蓋層270之後,形成源極/汲極接點開口穿過層間介電層244與接點蝕刻停止層242,以露出第一源極/汲極結構238與第二源極/汲極結構240。接著沉積矽化物前驅物層於露出的第一源極/汲極結構238與露出的第二源極/汲極結構240上。矽化物前驅物層可包含鈦、鉭、鎳、鈷、或鎢。接著退火工件200,使矽化物前驅物層與第一源極/汲極結構238之間以及矽化物前驅物層與第二源極/汲極結構240之間反應形成矽化物結構。矽化物結構的組成可為鈦矽化物、鉭矽化物、鎳矽化物、鈷矽化物、或鎢矽化物。接著沉積金屬填充層於源極/汲極開口中,並平坦化金屬填充層以形成第一源極/汲極接點274與第二源極/汲極接點276。金屬填充層可包含鋁、銅、釕、鎳、鉬、或鎢。第一源極/汲極接點274由矽化物結構電性耦接至第一源極/汲極結構238。第二源極/汲極接點276由矽化物結構電性耦接至第二源極/汲極結構240。
圖31至36係本發明多種實施例中,第一多橋通道電晶體400與第二多橋通道電晶體500之間的邊界。如圖31及32所示,第一多橋通道電晶體400可沿著X方向與第二多橋通道電晶體500交界。第一界面區202IX可包含不規則。舉例來說,步驟116採用的第五圖案化的遮罩217的邊緣可直接位於邊界隔離結構216B的邊緣上。邊界隔離結構216B包自隔離結構216轉變至凹陷的隔離結構2160,且可具有階狀變化的階狀上表面。在所述實施例中,邊界隔離結構216B的右半部與隔離結構216的深度(或厚度)相同,而邊界隔離結構216B的左半部與凹陷的隔離結構2160的深度(或厚度)相同。換言之,邊界隔離結構216B的右半部上表面與隔離結構216的上表面共平面,而邊界隔離結構216B的左半部上表面與凹陷的隔離結構2160的上表面共平面。邊界隔離結構216B上的邊界介電鰭狀物222B包括的底部輪廓,符合邊界隔離結構的形狀。與邊界隔離結構216B類似,邊界介電鰭狀物222B包括階狀下表面,其具有類似的階狀變化。如圖31及32所示,邊界介電鰭狀物222B的右側下表面直接位於邊界隔離結構216B的右側上,而邊界介電鰭狀物222B的左側下表面直接位於邊界隔離結構216B的左側上。在一些實施方式中,第一介電鰭狀物2220或第二介電鰭狀物2222的第一寬度W1,可小於或等於邊界介電鰭狀物222B的第二寬度W2。在一些實施例中,第二寬度W2比第一寬度W1大超過三倍。在圖31所示的實施例中,第一多橋通道電晶體400包括第一抗擊穿層204位於第一閘極結構258之下,且第二多橋通道電晶體500包括第二抗擊穿層206位於第二閘極結構260之下。在圖32所示的實施例中,不形成第一抗擊穿層204與第二抗擊穿層206。第一閘極結構258直接位於第一鰭狀結構214AF上,而第二閘極結構260直接位於第二鰭狀結構214BF上。
如圖33至36所示,第一多橋通道電晶體400可沿著Y方向與第二多橋通道電晶體500交界於第二界面區202IY。第二界面區202IY可垂直對準邊界源極/汲極結構240B。在圖33至36中,邊界源極/汲極結構240B可與第一源極/汲極結構238與第二源極/汲極結構240的較深者類似。在所述實施例中,由於第二源極/汲極結構240更延伸至基板202中且較深,邊界源極/汲極結構240B可與第二源極/汲極結構240類似。邊界源極/汲極結構240B夾設於第一多橋通道電晶體400與第二多橋通道電晶體500的通道組件2080之間。換言之,第一多橋通道電晶體400與第二多橋通道電晶體500共用邊界源極/汲極結構240B。在圖33所示的實施例中,第一多橋通道電晶體400位於第一抗擊穿層204上、第二多橋通道電晶體500位於第二抗擊穿層206上,而源極/汲極結構如第一源極/汲極結構238、第二源極/汲極結構240、與邊界源極/汲極結構240B位於虛置磊晶層236上。在圖34所示的實施例中,第一多橋通道電晶體400位於第一抗擊穿層204上、第二多橋通道電晶體500位於第二抗擊穿層206上,但省略虛置磊晶層236。在圖35所示的實施例中,第一多橋通道電晶體400位於第一鰭狀結構214AF上、第二多橋通道電晶體500位於第二鰭狀結構214BF上,而源極/汲極結構如第一源極/汲極結構238、第二源極/汲極結構240、與邊界源極/汲極結構240B位於虛置磊晶層236上。在圖36所示的實施例中,第一多橋通道電晶體400位於第一鰭狀結構214AF上,第二多橋通道電晶體位於第二鰭狀結構214BF上,而源極結構如第一源極/汲極結構238、第二源極/汲極結構240、與邊界源極/汲極結構240B位於基板202上。
依據上述內容,可知本發明實施例比習知製程提供更多優點。然而應理解其他實施例可提供額外優點,此處不必說明所有優點,且所有實施例不必具有特定優點。舉例來說,本發明實施例所述的製程形成含有第一數目的通道組件的第一多橋通道電晶體,與含有第二數目的通道組件的第二多橋通道電晶體。第二數目大於第一數目。第一多橋通道電晶體較適用於高速應用,而第二多橋通道電晶體較適用於高電流應用。
本發明一實施例關於半導體裝置。半導體裝置包括第一電晶體與第二電晶體。第一電晶體包括兩個第一源極/汲極結構;以及第一數目的奈米結構,彼此垂直堆疊且沿著長度方向延伸於上述兩個第一源極/汲極結構之間。第二電晶體包括:兩個第二源極/汲極結構;以及第二數目的奈米結構,彼此垂直堆疊且沿著長度方向延伸於上述兩個第二源極/汲極結構之間。第一數目小於第二數目。
在一些實施例中,第二數目的奈米結構的最底部的奈米結構,低於第一數目的奈米結構的最底部的奈米結構。在一些實施例中,第二源極/汲極結構之一者的下表面,低於第二源極/汲極結構之另一者的下表面。在一些實施方式中,半導體裝置更包括:第一虛置磊晶結構,位於上述兩個第一源極/汲極結構的每一者之下;以及第二虛置磊晶結構,位於上述兩個第二源極/汲極結構的至少一者之下。在一些例子中,上述兩個第一源極/汲極結構與上述兩個第二源極/汲極結構包括第一半導體材料與第一型摻質,且其中第一虛置磊晶結構與第二虛置磊晶結構包括第二半導體材料,且實質上不含第一型摻質。在一些例子中,第一電晶體更包括第一抗擊穿結構位於第一數目的奈米結構之下,且第二電晶體更包括第二抗擊穿結構位於第二數目的奈米結構之下。在一些實施例中,第一抗擊穿結構直接接觸第一虛置磊晶結構,以及第二抗擊穿結構直接接觸第二虛置磊晶結構。在一些實施方式中,第二抗擊穿結構低於第一抗擊穿結構。在一些實施例中,第一抗擊穿結構與第二抗擊穿結構包括第二型摻質,且第二型摻質與第一型摻質不同。
本發明另一實施例關於半導體裝置。半導體裝置包括第一數目的通道組件,位於第一鰭狀結構上;第一閘極結構,包覆第一數目的通道組件的每一者;第二數目的通道組件,位於第二鰭狀結構上,且第二數目大於第一數目;第二閘極結構,包覆第二數目的通道組件的每一者;介電鰭狀物,沿著第一方向位於第一閘極結構與第二閘極結構之間;以及隔離結構,沿著第一方向位於第一鰭狀結構與第二鰭狀結構之間。介電鰭狀物包括第一下表面與低於第一下表面的第二下表面。
在一些實施例中,半導體裝置更包括閘極切割結構位於介電鰭狀物上。在一些實施方式中,第一下表面與第二下表面包括階狀變化。在一些實施例中,第一鰭狀結構的上表面高於第二鰭狀結構的上表面,第一下表面與第一鰭狀結構的上表面共平面,且第二下表面與該第二鰭狀結構的上表面共平面。在一些實施例中,隔離結構包括第一上表面,與低於第一上表面的第二上表面。在一些實施方式中,隔離結構的第一上表面與介電鰭狀物的第一下表面交界,以及隔離結構的第二上表面與介電鰭狀物的第二下表面交界。
本發明又一實施例關於半導體裝置的形成方法。方法包括提供基板,其包括第一區與第二區;選擇性形成第一抗擊穿層於第一區上;選擇性形成第二抗擊穿層於第二區中;沉積半導體層的第一堆疊於第一區與第二區上;自第二區選擇性移除半導體層的第一堆疊;在選擇性移除步驟之後,選擇性沉積半導體層的第二堆疊於第二區上;圖案化半導體層的第一堆疊與半導體層的第二堆疊,以形成第一鰭狀結構於第一區上,並形成第二鰭狀結構於第二區上;在圖案化步驟之後,沉積隔離結構於基板上;以及選擇性回蝕刻第二區上的隔離結構,使第一區上的隔離結構具有第一厚度,第二區上的隔離結構具有第二厚度,且第二厚度小於第一厚度。
在一些實施例中,半導體層的第一堆疊包括交錯的第一數目的矽層與第一鍺層,半導體層的第二堆疊包括交錯的第二數目的矽層與第二鍺層,且第二數目大於第一數目。在一些實施方式中,方法更包括在選擇性回蝕刻之後,形成半導體披覆層於第一鰭狀結構與第二鰭狀結構上;形成第一介電鰭狀物於第一區上的隔離結構上,並形成第二介電鰭狀物於第二區上的隔離結構上;形成虛置閘極堆疊於第一鰭狀結構與第二鰭狀結構的多個通道區上;使第一鰭狀結構的多個源極/汲極區選擇性凹陷以形成多個第一源極/汲極凹陷,並覆蓋第二區;使第二鰭狀結構的多個源極/汲極區選擇性凹陷以形成多個第二源極/汲極凹陷,並覆蓋第一區;以及形成多個第一源極/汲極結構於第一源極/汲極凹陷中,並形成多個第二源極/汲極結構於第二源極/汲極凹陷中。在一些例子中,使第一鰭狀結構的源極/汲極區選擇性凹陷的步驟,移除第一源極/汲極凹陷中的第一抗擊穿層,以及使該第二鰭狀結構的源極/汲極區選擇性凹陷的步驟,移除第二源極/汲極凹陷中的第二抗擊穿層。在一些實施例中,方法更包括:在形成第一源極/汲極結構與第二源極/汲極結構之前,形成多個虛置磊晶結構於第一源極/汲極凹陷與第二源極/汲極凹陷中。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
d:深度 D:差異 W1:第一寬度 W2:第二寬度 100:方法 102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132,134,136,138,140,142,144,146:步驟 200:工件 202:基板 202A:第一區 202A-C:第一通道區 202A-SD:第一源極/汲極區 202B:第二區 202B-C:第二通道區 202B-SD:第二源極/汲極區 202IX:第一界面區 202IY:第二界面區 203:第一圖案化的遮罩 204:第一抗擊穿層 205:第二圖案化的遮罩 206:第二抗擊穿層 207:犧牲層 207T:最頂部的犧牲層 208:通道層 209:第三圖案化的遮罩 210A:第一堆疊 210B:第二堆疊 212:第四圖案化的遮罩 214A,214AF:第一鰭狀結構 214B,214BF:第二鰭狀結構 216:隔離結構 216B:邊界隔離結構 217:第五圖案化的遮罩 218:披覆層 220:襯墊層 222:填充層 222B:邊界介電鰭狀物 224:蓋層 225:虛置介電層 226:虛置閘極 228:氮化矽遮罩層 230:氧化矽遮罩層 231A:第一虛置閘極堆疊 231B:第二虛置閘極堆疊 232:第一源極/汲極凹陷 233:圖案化的遮罩層 234:第二源極/汲極凹陷 236:虛置磊晶層 238:第一源極/汲極結構 240:第二源極/汲極結構 240B:邊界源極/汲極結構 242:接點蝕刻停止層 243:第一閘極溝槽 244:層間介電層 245:第二閘極溝槽 246:第一閘極間隔物 248:第二閘極間隔物 251:內側間隔物結構 252:界面層 254:閘極介電層 256:閘極層 258:第一閘極結構 260:第二閘極結構 262:第一閘極頂部金屬層 264:閘極切割結構 266:第二閘極頂部金屬層 268:第三閘極頂部金屬層 270:自對準蓋層 272:矽化物結構 274:第一源極/汲極接點 276:第二源極/汲極接點 300:第一離子佈植製程 302:第二離子佈植製程 400:第一多橋通道電晶體 500:第二多橋通道電晶體 2020:覆蓋層 2080:通道組件 2160:凹陷的隔離結構 2191:第一溝槽 2192:第二溝槽 2220:第一介電鰭狀物 2222:第二介電鰭狀物
圖1A及1B一起顯示本發明一或多個實施例形成半導體裝置的方法之流程圖。 圖2至30係本發明一或多個實施例中,工件在圖1A及1B的方法中的多種製作階段時的部分剖視圖。 圖31至36係本發明一或多個實施例中,不同多橋通道電晶體的界面區。
W1:第一寬度
W2:第二寬度
200:工件
202:基板
202A-C:第一通道區
202B-C:第二通道區
202IX:第一界面區
204:第一抗擊穿層
206:第二抗擊穿層
214AF:第一鰭狀結構
214BF:第二鰭狀結構
216:隔離結構
216B:邊界隔離結構
222B:邊界介電鰭狀物
252:界面層
254:閘極介電層
256:閘極層
262:第一閘極頂部金屬層
264:閘極切割結構
266:第二閘極頂部金屬層
268:第三閘極頂部金屬層
270:自對準蓋層
400:第一多橋通道電晶體
500:第二多橋通道電晶體
2080:通道組件
2160:凹陷的隔離結構
2220:第一介電鰭狀物
2222:第二介電鰭狀物

Claims (10)

  1. 一種半導體裝置,包括:一第一電晶體,包括:兩個第一源極/汲極結構;以及一第一數目的奈米結構,彼此垂直堆疊且沿著長度方向延伸於上述兩個第一源極/汲極結構之間;以及一第二電晶體,包括:兩個第二源極/汲極結構;以及一第二數目的奈米結構,彼此垂直堆疊且沿著長度方向延伸於上述兩個第二源極/汲極結構之間,其中該第一數目小於該第二數目。
  2. 如請求項1之半導體裝置,其中該第二數目的奈米結構的最底部的奈米結構,低於該第一數目的奈米結構的最底部的奈米結構。
  3. 如請求項1或2之半導體裝置,其中該些第二源極/汲極結構之一者的下表面,低於該些第二源極/汲極結構之另一者的下表面。
  4. 如請求項1或2之半導體裝置,更包括:一第一虛置磊晶結構,位於上述兩個第一源極/汲極結構的每一者之下;以及一第二虛置磊晶結構,位於上述兩個第二源極/汲極結構的至少一者之下。
  5. 一種半導體裝置,包括:一第一數目的通道組件,位於一第一鰭狀結構上;一第一閘極結構,包覆該第一數目的通道組件的每一者; 一第二數目的通道組件,位於一第二鰭狀結構上,且該第二數目大於該第一數目;一第二閘極結構,包覆該第二數目的通道組件的每一者;一介電鰭狀物,沿著一第一方向位於該第一閘極結構與該第二閘極結構之間;以及一隔離結構,沿著該第一方向位於該第一鰭狀結構與該第二鰭狀結構之間,其中該介電鰭狀物包括一第一下表面與低於該第一下表面的一第二下表面。
  6. 如請求項5之半導體裝置,更包括一閘極切割結構位於該介電鰭狀物上。
  7. 如請求項5或6之半導體裝置,其中該第一下表面與該第二下表面包括一階狀變化。
  8. 一種半導體裝置的形成方法,包括:提供一基板,其包括一第一區與一第二區;選擇性形成一第一抗擊穿層於該第一區上;選擇性形成一第二抗擊穿層於該第二區中;沉積一半導體層的第一堆疊於該第一區與該第二區上;自該第二區選擇性移除該半導體層的第一堆疊;在選擇性移除步驟之後,選擇性沉積一半導體層的第二堆疊於該第二區上;圖案化該半導體層的第一堆疊與該半導體層的第二堆疊,以形成一第一鰭狀結構於該第一區上,並形成一第二鰭狀結構於該第二區上;在圖案化步驟之後,沉積一隔離結構於該基板上;以及選擇性回蝕刻該第二區上的該隔離結構,使該第一區上的該隔離結構具有一 第一厚度,該第二區上的該隔離結構具有一第二厚度,且該第二厚度小於該第一厚度。
  9. 如請求項8之半導體裝置的形成方法,其中該半導體層的第一堆疊包括交錯的一第一數目的矽層與第一鍺層,其中該半導體層的第二堆疊包括交錯的一第二數目的矽層與第二鍺層,其中該第二數目大於該第一數目。
  10. 如請求項8或9之半導體裝置的形成方法,更包括:在選擇性回蝕刻之後,形成一半導體披覆層於該第一鰭狀結構與該第二鰭狀結構上;形成一第一介電鰭狀物於該第一區上的該隔離結構上,並形成一第二介電鰭狀物於該第二區上的該隔離結構上;形成一虛置閘極堆疊於該第一鰭狀結構與該第二鰭狀結構的多個通道區上;使該第一鰭狀結構的多個源極/汲極區選擇性凹陷以形成多個第一源極/汲極凹陷,並覆蓋該第二區;使該第二鰭狀結構的多個源極/汲極區選擇性凹陷以形成多個第二源極/汲極凹陷,並覆蓋該第一區;以及形成多個第一源極/汲極結構於該些第一源極/汲極凹陷中,並形成多個第二源極/汲極結構於該些第二源極/汲極凹陷中。
TW110131194A 2021-02-05 2021-08-24 半導體裝置與其形成方法 TWI807398B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163146036P 2021-02-05 2021-02-05
US63/146,036 2021-02-05
US17/226,851 US11710737B2 (en) 2021-02-05 2021-04-09 Hybrid semiconductor device
US17/226,851 2021-04-09

Publications (2)

Publication Number Publication Date
TW202232765A TW202232765A (zh) 2022-08-16
TWI807398B true TWI807398B (zh) 2023-07-01

Family

ID=81672883

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110131194A TWI807398B (zh) 2021-02-05 2021-08-24 半導體裝置與其形成方法

Country Status (5)

Country Link
US (2) US11710737B2 (zh)
KR (1) KR102530218B1 (zh)
CN (1) CN114551355A (zh)
DE (1) DE102021109770B4 (zh)
TW (1) TWI807398B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11710737B2 (en) * 2021-02-05 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid semiconductor device
US20230014998A1 (en) * 2021-07-19 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with gate isolation structure and method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200066839A1 (en) * 2018-08-22 2020-02-27 International Business Machines Corporation I/o device scheme for gate-all-around transistors

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US10332970B2 (en) 2016-06-28 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing horizontal-gate-all-around devices with different number of nanowires
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US9660028B1 (en) 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10109646B1 (en) 2017-06-05 2018-10-23 Qualcomm Incorporated Selectively recessing trench isolation in three-dimensional (3D) transistors to vary channel structure exposures from trench isolation to control drive strength
DE102018103075B4 (de) 2017-08-30 2024-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum Herstellen einer Halbleitervorrichtung und eine Halbleitervorrichtung
US10170484B1 (en) 2017-10-18 2019-01-01 Globalfoundries Inc. Integrated circuit structure incorporating multiple gate-all-around field effect transistors having different drive currents and method
US10825933B2 (en) 2018-06-11 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
KR102515393B1 (ko) 2018-06-29 2023-03-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
DE102019112545A1 (de) 2018-07-31 2020-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
KR102673872B1 (ko) 2019-03-20 2024-06-10 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US11038058B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11710737B2 (en) * 2021-02-05 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200066839A1 (en) * 2018-08-22 2020-02-27 International Business Machines Corporation I/o device scheme for gate-all-around transistors

Also Published As

Publication number Publication date
US20230369321A1 (en) 2023-11-16
US11710737B2 (en) 2023-07-25
CN114551355A (zh) 2022-05-27
KR102530218B1 (ko) 2023-05-08
DE102021109770A1 (de) 2022-08-11
DE102021109770B4 (de) 2023-08-31
KR20220113231A (ko) 2022-08-12
TW202232765A (zh) 2022-08-16
US20220254776A1 (en) 2022-08-11

Similar Documents

Publication Publication Date Title
TWI692104B (zh) 半導體裝置與其製作方法
TWI671903B (zh) 半導體裝置結構及其製造方法
US11532627B2 (en) Source/drain contact structure
TW202127663A (zh) 半導體裝置
US9865709B2 (en) Selectively deposited spacer film for metal gate sidewall protection
TWI807398B (zh) 半導體裝置與其形成方法
CN113725277A (zh) 半导体装置
TW202228245A (zh) 半導體結構
TW202201543A (zh) 半導體裝置
TW202211472A (zh) 半導體結構及其形成方法
KR20220019606A (ko) 게이트 격리 구조물
TWI801864B (zh) 半導體裝置及其形成方法
TWI822111B (zh) 半導體裝置與其形成方法
US20230197802A1 (en) Connection between gate and source/drain feature
TWI793690B (zh) 半導體結構與其形成方法
TW202236437A (zh) 半導體裝置的形成方法
TW202217979A (zh) 半導體裝置
TWI799185B (zh) 半導體結構與其形成方法
TW202215599A (zh) 半導體裝置
TW202418473A (zh) 半導體結構及其製造方法
TW202240711A (zh) 半導體裝置
TW202322398A (zh) 半導體結構及其製造方法
TW202343577A (zh) 半導體裝置的製造方法及半導體裝置
TW202320346A (zh) 半導體裝置
TW202327102A (zh) 半導體裝置