TW202240711A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202240711A
TW202240711A TW111108993A TW111108993A TW202240711A TW 202240711 A TW202240711 A TW 202240711A TW 111108993 A TW111108993 A TW 111108993A TW 111108993 A TW111108993 A TW 111108993A TW 202240711 A TW202240711 A TW 202240711A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
gate
insulating
etching
Prior art date
Application number
TW111108993A
Other languages
English (en)
Inventor
詹易叡
潘冠廷
江國誠
程冠倫
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202240711A publication Critical patent/TW202240711A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種裝置,其包括基底;第一半導體通道,在基底的上方;以及第二半導體通道,在基底的上方,與第一半導體通道橫向偏離。第一閘極結構與第二閘極結構分別在第一半導體通道與第二半導體通道的上方且分別橫向地在第一半導體通道的周圍與第二半導體通道的周圍。非活性鰭狀物在第一閘極結構與第二閘極結構之間。介電部件在非活性鰭狀物的上方,介電部件不含空孔且包括多層介電材料,多層介電材料經由交互沉積與蝕刻的步驟而形成。

Description

半導體裝置
本發明實施例是關於半導體裝置及其形成方法,特別是關於場效電晶體(field-effect transistors;FETs)例如平面式場效電晶體、三維的鰭式場效電晶體(fin-line FETs;FinFETs)或全繞式閘極(gate-all-around;GAA)裝置及其形成方法。
半導體積體電路(integrated circuit, IC)產業已經歷了指數級成長。積體電路材料和設計的技術進步已經產生幾世代的積體電路,其中每一世代都比先前世代具有更小、更複雜的電路。在積體電路發展過程中,功能密度(舉例而言:每個晶片面積的互連裝置數量)普遍增加,而幾何尺寸(即,可以使用製造程序創建的最小構件(或線路))已減少。這種按比例縮小的製程通常透過提高生產效率和降低相關成本提供了益處。這種按比例縮小的製程也增加處理和製造積體電路的複雜性。
一實施例是關於一種半導體裝置,其包括一基底。一第一半導體通道在該基底的上方;一第二半導體通道是與該第一半導體通道橫向偏離,且在該基底的上方。一第一閘極結構在該第一半導體通道的上方且橫向地在該第一半導體通道的周圍;一第二閘極結構在該第二半導體通道的上方且橫向地在該第二半導體通道的周圍。一隔離結構在該第一閘極結構與該第二閘極結構之間。該隔離結構包括一非活性(inactive)鰭狀物與一介電部件,該介電部件延伸而遠離該非活性鰭狀物。該介電部件不含空孔(voids)且包括多層介電材料,上述多層介電材料經由交互沉積與蝕刻的步驟而形成。
另一實施例是關於一種半導體裝置,包括:一基底;一第一半導體通道,在上述基底的上方;以及一第二半導體通道,在上述基底的上方。上述第二半導體通道與上述第一半導體通道橫向偏離。一第一閘極結構是在上述第一半導體通道的上方,而一第二半導體通道是在上述第一半導體通道的上方。一非活性鰭狀物是在上述第一閘極結構與上述第二閘極結構之間。一介電部件高於上述非活性鰭狀物,並包括多層的一半導體材料。多層的上述半導體材料是經由三或更多道的原子層沉積而形成,並在上述多層的鄰近層之間包括至少一界面層,上述至少一界面層的特徵在於存在元素態的氧或氮。
又另一實施例是關於一種半導體裝置的形成方法,包括在一基底的上方形成一第一鰭堆疊物與一第二鰭堆疊物。一非活性鰭狀物是形成在上述第一鰭堆疊物與上述第二鰭堆疊物之間的一開口中。藉由在上述非活性鰭狀物的上方沉積一介電材料、蝕刻所沉積的上述介電材料以及重複上述沉積與蝕刻步驟至少二次,在上述非活性鰭狀物的上方形成一介電部件。根據本實施例,在上述第一鰭堆疊物的上方形成一第一閘極結構,在上述第二鰭堆疊物的上方形成一第二閘極結構,其中上述第一閘極結構是藉由上述非活性鰭狀物及上述介電部件而與上述第二閘極結構隔離。
以下揭露內容提供了許多不同的實施例或範例,用於實現所提供之申請專利之發明的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例的說明。當然,這些僅僅是範例,並無用以限定本發明的實施例的意思。舉例而言,以下敘述中提及第一部件形成於第二部件上或上方,可能包含第一與第二部件直接接觸的實施例,也可能包含額外的部件形成於第一與第二部件之間,使得第一與第二部件不直接接觸的實施例。此外,本發明實施例在各種範例中可能重複元件符號的數字及/或字母,此重複是為了簡化和明確,並非在討論的各種實施例及/或組態之間指定其關係。
再者,在此可使用空間相對用詞,例如「在……下方」、「在……下」、「低於」、「下方的」、「在……上」、「高於」、「上方的」及類似的用詞以助於描述圖中所示之其中一個元件或部件相對於另一(些)元件或部件之間的關係。這些空間相對用詞係用以涵蓋圖式所描繪的方向以外,使用中或操作中之裝置的不同方向。裝置可能被轉向(旋轉90度或其他方向),且可與其相應地解釋在此使用之空間相對描述。
用以指出相對程度的用詞,例如「約」、「實質上」及類似用詞,應解釋為所屬技術領域中具有通常知識者得參酌現行的技術準則。一般而言,用詞「實質上」所指的容許誤差(tolerance)會小於用詞「約」所指的容許誤差。例如,「約100單位」的厚度,將包括較大範圍的值,舉例而言為70單位至130單位(±30%);而「實質上100單位」的厚度,將包括較小範圍的值,舉例而言為95單位至105單位(±5%)。再次說明,這樣的容許誤差(±30%、±5%及類似者)可能依存於製程及/或設備,不應被解釋為與所屬技術領域中具有通常知識者對於所討論的技術而言為常規相比而有較多或較少的限制,除此之外,當用於類似的情境,「約」作為一相對性的用詞並不會如「實質上」一般嚴格。
要注意的是,在本說明書中參考「一個實施例」、「一實施例」、「一例示的實施例」、「例示」等,是指所敘述的實施例包括一特定的部件、結構或特性,但並非每個實施例一定要包括此特定的部件、結構或特性。還有,這樣的用語不必然參考相同的實施例。此外,當敘述一特定的部件、結構或特性而與一實施例連結,在所屬技術領域中具有通常知識者的知識範圍內,無論是否有明確的說明,會將這樣的部件、結構或特性施行於與其他實施例連結。
本發明實施例一般是關於半導體裝置,特別是關於場效電晶體(field-effect transistors;FETs)例如平面式場效電晶體、三維的鰭式場效電晶體(fin-line FETs;FinFETs)或全繞式閘極(gate-all-around;GAA)裝置。根據本發明實施例的一些實施形態,是說明半導體裝置結構,舉例而言為隔離結構,其使用半導體材料的硬遮罩形成,上述半導體材料舉例而言為高k(high-k)介電材料與低k(low-k)介電材料。隔離結構的例子包括非活性(inactive)鰭狀物,非活性鰭狀物包括介電材料結構,上述介電材料結構使得例如鄰近的閘極結構等的鄰近的部分的導電結構彼此電性隔離或使得鄰近的源極/汲極結構彼此電性隔離。關於本發明實施例的用以形成半導體裝置結構的製程,在形成半導體裝置結構時使用閘極隔離結構或混合式鰭狀物(hybrid fins),舉例而言為介電部件,其是設計在半導體製程步驟的期間保護非活性鰭狀物,上述閘極隔離結構或混合式鰭狀物不具縫隙或空孔,上述縫隙或空孔可能會對隔離結構及使用這樣的隔離結構而形成的半導體裝置結構的性能另外造成不良影響。這樣的隔離結構是藉由一系列的沉積與蝕刻步驟形成,結果使隔離結構不具縫隙或空孔。在本文使用的用語「高k(high-k)」是指高介電常數。在一些實施例中,高介電常數是指介電常數大於二氧化矽的介電常數(舉例而言:大於7.0)。在本文使用的用語「低k(high-k)」是指低介電常數。在一些實施例中,低介電常數是指介電常數小於二氧化矽的介電常數(舉例而言:小於7.0)。
可以使用任何適當的方法,將與鰭狀物相關的鰭式場效電晶體或奈米片場效電晶體(nano-sheet FETs)圖形化。例如,可以使用一或多道的光學微影製程,包括雙重圖形化(double-patterning)或多重圖形化(multi-patterning)製程,將一鰭式場效電晶體或一奈米片場效電晶體(舉例而言:全繞式閘極電晶體結構)的鰭狀物圖形化。一般而言,雙重圖形化或多重圖形化製程結合了光學微影和自對準製程,從而得以使創建出的圖形所具有例如截距比使用單個直接光學微影製程可獲得的截距更小。舉例來說,在一實施例中,在一基底的上方形成一犧牲層並使用光學微影製程將其圖形化。使用一自對準製程在已圖案化的上述犧牲層旁邊形成間隔物。然後將上述犧牲層移除,接著可以使用留下來的間隔物來將上述全繞式閘極電晶體結構圖形化。
第1圖是根據一些實施例的一裝置100A的等角透視圖。裝置100A可以是一或多個鰭式場效電晶體的集合體(collection)、一或多個奈米片場效電晶體的集合體、一或多個奈米線場效電晶體(nano-wire FETs)的集合體或一或多個其他種類的場效電晶體的集合體。裝置100A可以包含於一微處理器、記憶體單元(memory cell)或其他積體電路。顯示在第1圖的裝置100A的視圖是用於說明的目的,而可能不是以等比例繪製。
如第1圖的實施例所示,裝置100A是形成在一基底102上且包括一或多個鰭式場效電晶體106與多個隔離結構108,隔離結構108將一個鰭式場效電晶體106的局部與一鄰近的鰭式場效電晶體106的局部分離。裝置100A還包括多個淺溝槽隔離(shallow trench isolation;STI)區104、多個第二閘極結構110以及多個層間介電質(interlayer dielectric;ILD)結構130,層間介電質結構130形成在第1圖所示的二個第二閘極結構110的兩側。
基底102是一實體材料(physical material),在其上形成鰭式場效電晶體106與隔離結構108。基底102可以是一半導體材料,例如矽。在一些實施例中,基底102可包括一結晶質基底,例如為一矽基底(舉例而言:晶圓)。在一些實施例中,基底102包括(i)元素半導體,例如鍺;(ii)一化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;(iii)一合金半導體,包括碳化矽鍺(silicon germanium carbide)、矽鍺(silicon germanium)、磷化砷化鎵(gallium arsenide phosphide)、磷化鎵銦(gallium indium phosphide)、砷化鎵銦(gallium indium arsenide)、磷化砷化鎵銦(gallium indium arsenide phosphide)、砷化鋁銦(aluminum indium arsenide)及/或砷化鋁鎵(aluminum gallium arsenide);或(iv)上述之組合。另外,可以依場效電晶體的設計需求,對基底102摻雜(舉例而言:p型基底或n型基底)。在一些實施例中,可以以p型摻雜物(舉例而言:硼、銦、鋁或鎵)或n型摻雜物(舉例而言:磷或砷)對基底102摻雜。在一些實施例中,基底102可以包括一玻璃基底。在一些實施例中,基底102可包括以例如塑膠製得的一可撓性基底。
在第1圖,淺溝槽隔離區104對鰭式場效電晶體106提供電性隔離,使其彼此隔離並與鄰近的(與基底102整合或沉積至基底102上的)主動元件及被動元件(未繪示於此)隔離。淺溝槽隔離區104可以以一介電材料製得。淺溝槽隔離區104可包括氧化矽(SiOx)、氮化矽(SiNx)、氮氧化矽(SiON)、掺氟的矽酸鹽玻璃( fluorine-doped silicate glass;FSG)、一低介電常數介電材料及/或其他適當的電性絕緣材料。在一些實施例中,淺溝槽隔離區104可以包括一多層結構。在一些實施例中,可以將以一適當的絕緣材料製得的一襯墊116設置在淺溝槽隔離區104與鄰近的鰭式場效電晶體106之間。
如第1圖所示,每個鰭式場效電晶體106各自為一垂直結構,其沿著X軸橫向延伸並穿過第二閘極結構110。在一些實施例中,鰭式場效電晶體106可以是一垂直結構且其取向是沿著基底102的<110>、<111>或<100>晶向。在繪示於第1圖的實施例中,鰭式場效電晶體106包括一緩衝區120,其形成於基底102的上方。在繪示於第1圖的實施例中,鰭式場效電晶體106亦包括一通道區122,其形成於緩衝區120的上方。例如,第1圖繪示鰭式場效電晶體106包括六個通道層122A、122B、122C、122D、122E、122F(後文會有合稱為通道層122A至122F的情況),其中六個通道層122A至122F可各自包括至少一矽層或一矽鍺層。儘管第1圖繪示六個通道層122A至122F,可以以任何數量的通道層來構成通道區122。鰭式場效電晶體106水平地(舉例而言:在X方向)橫向延伸而穿過第二閘極結構110,因此通道區122的一部分存在於第二閘極結構110的下方,而通道區122的另一部分(被源極─汲極區124所覆蓋,未繪示於第1圖)則在第二閘極結構110的外側水平地(舉例而言:在X方向)延伸。在一些實施例中,裝置100A可以是一或多個鰭式場效電晶體的集合體,其中在第二閘極結構110的下方之通道區122的頂表面及側表面的部分可以與第二閘極結構110有物理接觸。在一些實施例中,如第1圖所示,裝置100A可以是一或多個奈米片場效電晶體的集合體,其中在第二閘極結構110的下方之通道區122的頂表面及側表面的部分可以與第二閘極結構110有物理接觸。在一些實施例中,裝置100A可以是一或多個奈米線場效電晶體的集合體,其中在第二閘極結構110的下方之通道區122的外周表面(circumferential surface)的部分可以與第二閘極結構110有物理接觸。
在一些實施例中,裝置100A可以是一或多個奈米片場效電晶體的集合體或一或多個奈米線場效電晶體的集合體,其中通道區122可以包括一第一部分與一第二部分,上述第一部分具有交錯的通道層(未示於第1圖,埋置在源極─汲極區124內),上述第二部分具有交錯的通道層(舉例而言:通道層122A至122F)。來自通道區122的上述第一部分的第二通道層可以延伸而穿過通道區122的上述第二部分。第二閘極結構110可以形成在通道區122的上述第二部分的上方。在一些實施例中,第二閘極結構110可以圍繞通道區122的上述第二部分的上述第二通道層。
鰭式場效電晶體106還包括一源極─汲極區124,其形成在通道區122的一部分的上方且形成在緩衝區120的上方。例如,源極─汲極區124可以包裹在通道區122之水平地(舉例而言:在X方向)在第二閘極結構110外側的周圍,舉例而言,未在第二閘極結構110的下方。在一些實施例中,可以將通道區122及源極─汲極區124設置在高於淺溝槽隔離區104的頂表面處。在一些實施例中,通道區122的底表面及源極─汲極區124的底表面可以高於淺溝槽隔離區104的頂表面或是與淺溝槽隔離區104的頂表面實質上共平面。
對於裝置100A而言,通道區122可以是承載電流的結構。可以將覆蓋部分的通道區122的源極─汲極區124配置為發揮裝置100A的源極/汲極(S/D)區的功能。裝置100A的通道可以形成在通道區122在第二閘極結構110的下方的部分。
每個緩衝區120與通道區122可包括類似於基底102的材料。例如,每個緩衝區120與通道區122可包括一半導體材料,其具有的晶格常數接近(舉例而言:晶格不匹配在1%以內)基底102的晶格常數。在一些實施例中,每個緩衝區120與通道區122可包括彼此類似(舉例而言:晶格不匹配在1%以內)或彼此不同的材料。在一些實施例中,緩衝區120可包括元素半導體,例如矽與鍺。在一些實施例中,通道區122可包括合金半導體,例如碳化矽鍺、矽鍺、磷化砷化鎵、磷化鎵銦、砷化鎵銦、磷化砷化鎵銦、砷化鋁銦及/或砷化鋁鎵。
每個緩衝區120與通道區122可以是p型摻雜、n型摻雜或未摻雜。在一些實施例中,通道區122在第二閘極結構110下方的一部分與通道區122水平地(舉例而言:在X方向)在第二閘極結構110的外側的另外的部分可以具有不同的摻雜類型。例如,通道區122在第二閘極結構110下方的一部分可以是未摻雜,而與通道區122水平地(舉例而言:在X方向)在第二閘極結構110的外側的另外的部分可以是n型摻雜。在一些實施例中,緩衝區120與通道區122在第二閘極結構110下方的一部分可以具有相同的摻雜類型。
源極─汲極區124可包括一磊晶成長的半導體材料。在一些實施例中,上述磊晶成長的半導體材料可以與基底102為相同材料。在一些實施例中,上述磊晶成長的半導體材料可以與基底102為不同材料。上述磊晶成長的半導體材料可以包括:(i) 一元素半導體材料,例如鍺(Ge)與矽(Si);(ii)一化合物半導體材料,例如砷化鎵與砷化鋁鎵;或是(iii)一半導體合金,例如矽鍺(SiGe)與砷化磷化鎵(gallium arsenide phosphide)。在一些實施例中,裝置100A 可包括一鰭式場效電晶體106與另一鰭式場效電晶體106,上述一鰭式場效電晶體106 具有一第一的源極─汲極區124 (舉例而言:源極─汲極區124A),而上述另一鰭式場效電晶體106 具有一第二的源極─汲極區124 (舉例而言:源極─汲極區124B),其中上述第一及第二的源極─汲極區124(舉例而言:源極─汲極區124A與124B)可以以相同或不同半導體材料所製得。
源極─汲極區124可以是p型摻雜或n型摻雜。在一些實施例中,可以以p型摻雜物來摻雜源極─汲極區124,上述p型摻雜物例如為硼、銦、鎵、鋅、鈹及鎂。在一些實施例中,每個p型源極─汲極區124可包括複數個p型子區。在一些實施例中,可以以n型摻雜物來摻雜源極─汲極區124,上述n型摻雜物例如為磷、砷、矽、硫及硒。在一些實施例中,每個n型源極─汲極區124可包括複數個n型子區。除了摻雜物的類型之外,在厚度、相對於矽的鍺濃度、摻雜物濃度及/或磊晶成長製程條件方面,上述複數個n型子區可以分別類似於上述複數個p型子區。
可以經由一磊晶成長製程,將源極─汲極區124成長於通道區122延伸在第二閘極結構110及/或緩衝區120以外的部分的上方。在一些實施例中,可以將源極─汲極區124磊晶成長於鰭式場效電晶體106水平地(舉例而言:在X方向)在第二閘極結構110的外側的部分上。用於源極─汲極區124的磊晶成長製程可以包括:(i) 化學氣相沉積(chemical vapor deposition;CVD),例如低壓化學氣相沉積(low pressure chemical vapor deposition;LPCVD)、加速加熱化學氣相沉積(rapid thermal chemical vapor deposition;RTCVD)、金屬有機化學氣相沉積(metal-organic chemical vapor deposition;MOCVD)、原子層化學氣相沉積(atomic layer chemical vapor deposition;ALCVD)、超高真空化學氣相沉積(ultrahigh vacuum chemical vapor deposition;UHVCVD)、減壓化學氣相沉積(reduced pressure chemical vapor deposition;RPCVD)或任何適當的化學氣相沉積;(ii)分子束磊晶(molecular beam epitaxy;MBE) 製程; (iii)任何適當的磊晶製程;或(iv)上述之組合。在一些實施例中,可以藉由一磊晶成長/部分蝕刻製程來形成源極─汲極區124,其是重複磊晶成長/部分蝕刻製程至少一次。這樣重複的沉積/部分蝕刻製程亦稱為「循環式沉積―蝕刻(cyclic deposition-etch ;CDE)製程」。在一些實施例中,可藉由選擇性磊晶成長(selective epitaxial growth;SEG)來成長出源極─汲極區124,其中可添加一蝕刻氣體來促進在鰭式場效電晶體106的暴露表面上的半導體材料的選擇性成長,但未將半導體材料成長在絕緣材料(舉例而言:淺溝槽隔離區104的介電材料)上。
可以藉由在前文敘述的磊晶成長製程的期間,藉由導入一或多種前驅物來達成源極─汲極區124的摻雜。例如,可以使用例如二硼烷(diborane;B 2H 6)與三氟化硼(boron trifluoride;BF 3)等的p型摻雜前驅物,在上述磊晶成長製程的期間,對源極─汲極區124進行就地(in-situ)p型摻雜。在一些實施例中,可以使用例如膦(phosphine;PH 3)與胂(arsine;AsH 3)等的n型摻雜前驅物,在上述磊晶成長製程的期間,對源極─汲極區124進行就地n型摻雜。
在第1圖中,隔離結構108為垂直結構,其形成於淺溝槽隔離區104的上方並水平(舉例而言:在Y方向)置於鰭式場效電晶體106之間。隔離結構108可包括一介電堆疊物,以使多個鰭式場效電晶體106彼此電性絕緣。在一些實施例中,隔離結構108可以是淺溝槽隔離區104的垂直延伸物,以電性隔離部分的鰭式場效電晶體106。例如,隔離結構108可以是介電質非活性(inactive)鰭狀物,其置於二個鰭式場效電晶體106之間,以將此二個鰭式場效電晶體106的例如金屬閘極彼此隔離。在一些實施例中,每個鰭式場效電晶體106以及每個隔離結構108可以交互且水平(舉例而言:在Y方向)設置而彼此相鄰。在一些實施例中,隔離結構108可以是鰭狀物結構,以將二個鰭式場效電晶體106的源極─汲極區124彼此隔離。隔離結構108可以具有垂直尺寸(舉例而言:高度),其實質上等於或大於通道區122的高度。在一些實施例中,隔離結構108可具有水平尺寸(舉例而言:沿著Y方向的寬度),其實質上等於或小於二個水平(舉例而言:在Y方向)相鄰的鰭式場效電晶體106之間的間隔(spacing)。
如第1圖所示,第二閘極結構110是一垂直結構,其沿著Y軸橫向延伸並經過一或多個鰭式場效電晶體106。 儘管第1圖顯示二個第二閘極結構110橫越六個鰭式場效電晶體106,但是在裝置100A可以包括任何數量的第二閘極結構110,其中每個第二閘極結構110可以彼此平行且可以橫越任何數量的鰭式場效電晶體106。在一些實施例中,第二閘極結構110可以圍繞通道區122的頂表面的一部分及側表面的一部分(舉例而言:當裝置100A是一或多個鰭式場效電晶體的集合體時)。在一些實施例中,第二閘極結構110可以圍繞通道區122的頂表面的一部分、側表面的一部分及底表面的一部分(舉例而言:當裝置100A是一或多個奈米片電晶體的集合體時)或可以圍繞周圍表面的一部分(舉例而言:當裝置100A是一或多個奈米線電晶體的集合體時)。第二閘極結構110可以包括一閘極電極114與一介電層112,介電層112設置在被圍繞的通道區122與閘極電極114之間。在一些實施例中,第二閘極結構110可以具有一水平尺寸(舉例而言:閘極長度)Lg,其在約5 nm至約30 nm的範圍。在一些實施例中,可以藉由一閘極替換製程來形成第二閘極結構110。在一些實施例中,可以藉由一閘極先製(gate first)製程來形成第二閘極結構110。
介電層112可以鄰近閘極電極114並與閘極電極114接觸。介電層112可以具有一厚度,其在約1 nm至約5 nm的範圍。介電層112可以包括氧化矽並可以藉由化學氣相沉積、原子層沉積(atomic layer deposition;ALD)、物理氣相沉積(physical vapor deposition;PVD)、電子束蒸鍍(e-beam evaporation)或其他適當的製程來形成。在一些實施例中,介電層112可以包括:(i)一層氧化矽、氮化矽及/或氮氧化矽;(ii)一高介電常數介電材料,例如氧化鋁(Al 2O 3)、氧化鉿(HfO 2)、氧化鉿鋁(hafnium aluminum oxide;HfAlOx)、氧化鈦(TiO 2)、氧化鉿鋯(hafnium zirconium oxide;HfZrOx)、氧化鉭(tantalum oxide;Ta 2O 3)、矽酸鉿(HfSiO 4)、氧化鉿矽(hafnium silicon oxide;HfSiOx)、氧化鋯(ZrO 2)、矽酸鋯(ZrSiO 4);(iii)一高介電常數介電材料,具有以下的氧化物:鋰(Li)、鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鈧(Sc)、釔(Y)、鋯(Zr)、鋁(Al)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、鐿(Yb)或鎦(Lu);或是(iv)上述之組合。高介電常數介電層的形成,可以藉由原子層沉積及/或其他適當的方法。在一些實施例中,介電層112可以包括一單一層或複數個絕緣材料層的一堆疊物。 基於本文的揭露,用於介電層112的其他材料及形成方法都在本發明實施例的範圍及精神內。
閘極電極114可以包括一閘極功函數金屬層(未繪示)以及一閘極金屬填充層(未繪示)。在一些實施例中,上述閘極功函數金屬層可以沉積在介電層112上。上述閘極功函數金屬層可以包括一單一金屬層或複數個金屬層的一堆疊物。上述複數個金屬層的堆疊物可以包括多種金屬,其可以具有彼此類似或彼此不同的功函數。在一些實施例中,上述閘極功函數金屬層可以包括例如鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、矽化鎳(NiSi)、矽化鈷(CoSi)、銀(Ag)、碳化鉭(TaC)、氮化鉭矽(tantalum silicon nitride;TaSiN)、氮化鉭碳(tantalum carbon nitride;TaCN)、鈦鋁(titanium aluminum;TiAl)、氮化鈦鋁(titanium aluminum nitride;TiAlN)、氮化鎢(WN)、金屬合金及/或上述之組合。可以使用一適當的製程例如原子層沉積、化學氣相沉積、物理氣相沉積、鍍覆(plating)或上述之組合來形成上述閘極功函數金屬層。在一些實施例中,上述閘極功函數金屬層可以具有一厚度,其在約2 nm至約15 nm的範圍。基於本文的揭露,用於上述閘極功函數金屬層的其他材料、形成方法及厚度都在本發明實施例的範圍及精神內。
上述閘極金屬填充層可以包括一單一層或複數個金屬層的一堆疊物。上述複數個金屬層的堆疊物可以包括彼此不同的金屬。在一些實施例中,上述閘極功函數金屬層可以包括一適當的導電材料,例如為鈦、銀(Ag)、鋁、氮化鈦鋁(titanium aluminum nitride;TiAlN)、碳化鉭(TaC)、氮碳化鉭(tantalum carbonitride;TaCN)、氮化鉭矽(tantalum silicon nitride ;TaSiN)、錳(Mn)、鋯(Zr)、氮化鈦(TiN)、氮化鉭(TaN)、釕、(Ru)、鉬(Mo)、氮化鎢(WN)、銅(Cu)、鎢(W)、鈷(Co)、鎳(Ni)、碳化鈦(TiC)、碳化鈦鋁(titanium aluminum carbide;TiAlC)、碳化鉭鋁(tantalum aluminum carbide;TaAlC)、金屬合金及/或上述之組合。基於本文的揭露,用於上述閘極金屬填充層的其他材料及形成方法都在本發明實施例的範圍及精神內。
在第1圖中,層間介電質結構130包括一或多個絕緣層,以對其圍繞或覆蓋的結構元件提供電性隔離,上述結構元件例如第二閘極結構110、源極─汲極區124及將形成於鄰近第二閘極結構110之處的源極/汲極接觸結構(未繪示於第1圖)。每個上述絕緣層可以包括一絕緣材料,例如氧化矽、二氧化矽(SiO 2)、碳氧化矽(silicon oxycarbide;SiOC)、氮氧化矽(SiON)、氮碳氧化矽(silicon oxy-carbon nitride;SiOCN)或氮碳化矽(silicon carbonitride;SiCN),其可以藉由低壓化學氣相沉積(low pressure chemical vapor deposition;LPCVD)、電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)、化學氣相沉積(chemical vapor deposition;CVD)、流動式化學氣相沉積(flowable chemical vapor deposition;FCVD)或高深寬比製程(high-aspect-ratio process;HARP)而形成。層間介電質結構130可以具有一厚度(舉例而言:沿著Z方向),其在約50 nm至約200 nm的範圍。基於本文的揭露,用於層間介電質結構130的其他材料、厚度及形成方法都在本發明實施例的範圍及精神內。
第2圖是根據本發明實施例的一些實施形態的製造參考第1圖所敘述的裝置100A的一方法300的一流程圖。為了圖示的目的,繪示於第2圖的操作將會參考一例示的製造製程來作說明,上述例示的製造製程是用以參考第3A至3M圖來製造裝置100A,其中第3A至3M圖是根據一些實施形態之裝置100A在其製造的各種階段的等角透視圖或剖面圖。依存於特定的應用,操作可以以依不同的順序施行或是不施行。要注意的是,方法300不是製造一完整的裝置100A。因此,要瞭解的是,可以在方法300之前、期間及之後提供額外的製程,而一些其他製程在本文可能只會作簡要說明。在第3A至3M圖中,與第1圖中的元件具有相同標示的元件,已在前文中說明。
在操作305,在一基底上形成一第一垂直結構與一第二垂直結構。例如,如第3F圖所示,多個垂直結構402(舉例而言:鰭狀物堆疊物)及多個垂直結構902(舉例而言:隔離結構)可以分別形成在基底102上。第3A與3G圖是已部分製造的結構的等角透視圖,其可以用來說明操作305的製造階段。如第3A圖所示,形成垂直結構402的製程可以包括:在基底102的上方形成一圖形化的硬遮罩層406;以及經由使用圖形化的硬遮罩層406的一蝕刻製程,在基底102內形成複數個凹陷結構410。用以形成圖形化的硬遮罩層406的製程可以包括使用一微影製程及/或一蝕刻製程,將一毯覆膜圖形化。作為例示且非限制,上述毯覆膜可以包括氮化矽、氮化矽碳(silicon carbon nitride)、氧化矽或任何其他適當的材料,且可以使用低壓化學氣相沉積、加速加熱化學氣相沉積、原子層沉積或電漿輔助化學氣相沉積來沉積上述毯覆膜。用以形成凹陷結構410的上述蝕刻製程可以是一乾式蝕刻製程、一濕式蝕刻製程或上述之組合。在一些實施例中,上述乾式蝕刻製程可以使用反應性離子蝕刻,其使用一氯基(chlorine based)或氟基(fluorine based)的蝕刻劑。每個垂直結構402可以包括緩衝區120,其以相同或類似於基底102的材料製得。在一些實施例中,垂直結構402可以具有一寬度W 1,其在約3 nm至約50 nm的範圍。在一些實施例中,在二個鄰近的垂直結構402之間的一間隔P 1(舉例而言:間距尺寸)可以在約14 nm至約40 nm的範圍。基於本文的揭露,與垂直結構402相關的任何寬度及厚度都在本發明實施例的範圍及精神內。
在一些實施例中,用以形成垂直結構402的製程可以更包括:在形成圖形化的硬遮罩層406之前,在基底102上磊晶成長至少一個通道層(舉例而言:122A至122F),以形成通道區122。作為例示且非限制,每個通道層122A至122F可以包括矽或矽鍺,並可以使用一磊晶成長製程來成長每個通道層122A至122F,上述磊晶成長製程例如為低壓化學氣相沉積、快速加熱化學氣相沉積、金屬有機化學氣相沉積、原子層沉積、電漿輔助化學氣相沉積或上述之組合。儘管第3A圖顯示六個通道層122A至122F,但是可以在基底102上磊晶成長任何數量的通道層,來形成通道區122。在一些實施例中,可以磊晶成長多個犧牲層404並插入磊晶成長的通道層之間。在一些實施例中,犧牲層404可以包括矽鍺。在繪示於第3C圖的實施例中,最上層的犧牲層404在Z方向具有一尺寸,舉例而言為高度,其類似於在最上層的犧牲層404下方的其他犧牲層404的高度。在另一實施例中,最上層的犧牲層404在Z方向具有一高度,其大於在最上層的犧牲層404下方的其他犧牲層404的高度。例如,最上層的犧牲層404的高度具有的高度值,在尺寸方面是類似於圖形化的硬遮罩層406的高度。在這樣的實施例中,最上層的犧牲層404是作為一硬遮罩,類似於圖形化的硬遮罩層406並取代圖形化的硬遮罩層406。當以最上層的犧牲層404取代圖形化的硬遮罩層406時,則將本文關於對圖形化的硬遮罩層406的進一步處理的說明應用於最上層的犧牲層404。在一些實施例中,每個垂直結構402可以包括緩衝區120、通道區122與複數個犧牲層404。
另外,在操作305,形成淺溝槽隔離區104。例如,可以如參考第3A與3D圖所作說明,形成淺溝槽隔離區104。在一些實施例中,如第3D圖所示,形成淺溝槽隔離區104的製程可以包括在凹陷結構410的上方(舉例而言:共形地(conformally))沉積一保護層408。保護層408可以包括氮化物材料(舉例而言:SiNx),而且其沉積可以使用例如原子層沉積或低壓化學氣相沉積。還有,如第3B圖所示,形成淺溝槽隔離區104的製程可以包括:在凹陷結構410的上方沉積一絕緣材料、對此絕緣材料退火、研磨(舉例而言:化學機械研磨(chemical mechanical polishing;CMP))退火後的上述絕緣材料以及使研磨後的上述絕緣材料凹陷以形成淺溝槽隔離區104。在一些實施例中,保護層408可以在對上述絕緣材料退火的期間避免垂直結構402的氧化。作為例示且非限制,上述絕緣材料可以包括氧化矽、氮化矽、氮氧化矽、摻氟的矽酸鹽玻璃(fluoride-doped silicate glass;FSG)或一低介電常數介電材料。在一些實施例中,沉積上述絕緣材料的製程可以包括適用於流動式介電材料(舉例而言:流動式的氧化矽)的任何適當的沉積方法。例如,可以沉積流動式的氧化矽而用於淺溝槽隔離區104,其使用一流動式化學氣相沉積(flowable chemical vapor deposition;FCVD)製程。在上述流動式化學氣相沉積製程之後,可以進行一濕式退火製程。在一些實施例中,沉積上述絕緣材料的製程可以包括沉積一低介電常數介電材料,以形成襯墊116。
上述絕緣材料的退火可以包括在約200° C 至約700° C的範圍的溫度的蒸氣對所沉積的上述絕緣材料退火,退火期間為約30分鐘至約120分鐘。在上述退火製程之後,可接著進行上述研磨製程,可以移除上述絕緣材料的層的一部分。上述研磨製程可以進一步移除所有或部分的圖形化的硬遮罩層406。當移除所有的圖形化的硬遮罩層406,則暴露出最上層的犧牲層404。如前文所記載,在一些實施例中,最上層的犧牲層404所具有的高度可以類似於圖形化的硬遮罩層406的高度。若僅移除部分的圖形化的硬遮罩層406,則形成圖形化的硬遮罩層506,其中在上述研磨製程之後的上述絕緣材料的頂表面可以實質上與圖形化的硬遮罩層506的頂表面共平面。上述研磨製程之後,可以接著進行蝕刻製程,以將研磨後的上述絕緣材料凹陷,以形成淺溝槽隔離區104。研磨後的上述絕緣材料的凹陷,可以藉由例如一乾式蝕刻製程、一濕式蝕刻製程或上述之組合來進行,其與最上層的犧牲層404的硬遮罩相比,對於淺溝槽隔離區的材料有較高的選擇性。在一些實施例中,用於將研磨後的上述絕緣材料凹陷的乾式蝕刻製程可以包括使用一電漿乾式蝕刻,其使用的氣體混合物可以包括八氟環丁烷(octafluorocyclobutane;C4F8)、氬 (Ar)、氧(O 2)、氦(He)、氟仿(fluoroform;CHF 3)、四氟化碳(CF 4)、二氟甲烷(difluoromethane;CH 2F 2)、氯(Cl 2)、溴化氫(HBr)或上述之組合,其具有約1 mTorr至約5 mTorr範圍的壓力。在一些實施例中,用於將研磨後的上述絕緣材料凹陷的濕式蝕刻製程可以包括使用一稀釋的氫氟酸(diluted hydrofluoric acid ;DHF)處理、氫氧化銨與過氧化氫混合物(ammonium peroxide mixture;APM)、硫酸與過氧化氫混合物(sulfuric peroxide mixture;SPM)、熱的去離子水或上述之組合。在一些實施例中,用於將研磨後的上述絕緣材料凹陷的濕式蝕刻製程可以包括使用一蝕刻製程,其使用氨(NH 3)與氫氟酸(HF)作為蝕刻劑,並使用鈍性氣體,例如氬、氙(Xe)、氦或上述之組合。在一些實施例中,用於上述蝕刻製程的HF與NH 3的流量可各自在約10 sccm 至約100 sccm(舉例而言:約20 sccm、約30 sccm或約40 sccm)的範圍。在一些實施例中,上述蝕刻製程可以在約5 mTorr至約100 mTorr(舉例而言:約20 mTorr、約30 mTorr或約40 mTorr)的範圍的壓力以及約50° C至約120° C的範圍的溫度施行。
另外,在操作305,形成垂直結構902。例如,垂直結構902可以如參考第3C至3F圖所作敘述而形成。請參考第3C圖,形成垂直結構902(示於第3F圖)的製程可以包括在凹陷結構410(示於第3B圖)的上方形成晶種層602。晶種層602可以與凹陷結構410的側表面接觸。在一些實施例中,晶種層602可以與圖形化的硬遮罩層506的頂表面及側表面接觸。在其他實施例中,可以從最上層的犧牲層404的表面移除圖形化的硬遮罩層506,而可以將晶種層602沉積在最上層的犧牲層404的暴露的表面的上方。晶種層602可以包括任何適當的導電材料,例如SiGe,而可以使用例如化學氣相沉積或原子層沉積等任何適當的沉積製程來沉積晶種層602。請參考第3D圖,形成垂直結構902的製程可以包括:在垂直結構402的上方及圖形化的硬遮罩層506(如果存在)的上方沉積(舉例而言:共形地)一襯層(liner layer)以及一介電堆疊物;研磨(舉例而言:化學機械研磨)上述襯層及上述介電堆疊物;以及經由一蝕刻製程,將研磨後的上述襯層及上述介電堆疊物(舉例而言:非活性鰭狀物)凹陷,以在垂直結構402之間形成凹陷結構710。用以形成凹陷結構710的上述蝕刻製程可以形成如第3D圖所繪示的襯墊704與介電堆疊物142。與上述襯層及上述介電堆疊物相關的材料、沉積製程、研磨製程及蝕刻製程可類似於用以形成淺溝槽隔離區104的材料、沉積製程、研磨製程及蝕刻製程。在一些實施例中,介電堆疊物142可以具有一高度H 6,其在約10 nm至約100 nm的範圍。在一些實施例中,介電堆疊物142可以具有一高度H 6,其在約20 nm至約80 nm的範圍。
形成垂直結構902的製程可以更包括:將一絕緣介電層沉積至凹陷結構710中;研磨上述絕緣介電層,以在介電堆疊物142的上方形成絕緣塊144(示於第3E圖);以及蝕刻圖形化的硬遮罩層506(如果存在,如第3F圖所示)。絕緣塊144形成高於且在介電堆疊物142的一介電部件。在一些實施例中,可以在研磨上述絕緣介電層的期間移除種晶層602的一部分,以形成在第3E圖的種晶層802。上述絕緣介電層可以包括一高介電常數材料或是對於介電堆疊物142具有高選擇比(舉例而言:大於1)的任何適當的介電材料。例如,上述絕緣介電層可以包括例如碳氧化矽(silicon oxycarbide;SiOC)、氮氧化矽(SiON)、氮碳氧化矽(silicon oxy-carbon nitride;SiOCN)或氮碳化矽(silicon carbonitride;SiCN)等的材料。已經觀察到,將一絕緣介電層沉積至所具有的高寬比高於一特定閾值(舉例而言:大於1.5或更大或是大於2或更大)的凹陷結構710時,在上述絕緣介電層會存在裂隙或空孔。這樣的裂隙或空孔可能會導致所形成的裝置的剔退,而會對產品的良率造成不良影響。此外,這樣的裂隙或空孔的存在會對上述絕緣介電層保護下層的介電堆疊物142、種晶層602或襯墊704的部件的能力造成不良影響。根據本發明實施例的實施形態,是使用如後文敘述的複數個交互沉積與蝕刻製程,將上述絕緣介電層沉積於凹陷結構710。根據本發明實施例的實施形態,凹陷結構710具有在約5至50 nm的範圍的深度與在約5至50 nm的範圍的寬度。在其他實施例中,凹陷結構710具有在約5至30 nm的範圍的深度與在約5至30 nm的範圍的寬度。
請參考第3D與6A圖,根據一實施例,使用後文參考第6B至6E圖所述的複數個重複的沉積與蝕刻步驟,將上述絕緣介電層沉積至凹陷結構710中。例如在一些實施例中,如第6B至6E圖所示,實行沉積與蝕刻的至少二個重複循環。換句話說,根據這樣的實施例,上述沉積與蝕刻的順序如下:沉積、蝕刻、沉積、蝕刻。根據本發明實施例的實施形態不限於在相同條件下實行一系列的重複的沉積與蝕刻步驟與另一系列的重複的沉積與蝕刻步驟。換句話說,在重複的蝕刻與沉積的系列步驟中,實行蝕刻與沉積步驟所採用的條件可以加以變化。
請參考第6B圖,在一實施例中,使用適用於流動式介電材料(舉例而言:流動式氧化矽)的方法,來完成一第一絕緣介電層610的沉積。例如,可以使用一流動式化學氣相沉積(flowable chemical vapor deposition;FCVD)製程來沉積流動式氧化矽。上述流動式化學氣相沉積製程可以後接一濕式退火製程。根據本發明實施例的實施形態不限於使用流動式化學氣相沉積來沉積上述絕緣介電層,可以使用例如其他的化學氣相沉積或原子層沉積製程等的其他製程。在一實施例中,當使用原子層沉積製程來將第一絕緣介電層610沉積至凹陷結構710而形成第3E圖中的絕緣塊144時,實行上述原子層沉積的條件,是使所沉積的第一絕緣介電層610的厚度造成所沉積的絕緣介電層被在後文會更詳細說明的蝕刻步驟移除的厚度與藉由上述沉積步驟所沉積的絕緣介電材料的厚度之比,在約1:4與1:1之間。在一些實施例中,在一單一的沉積步驟沉積的第一絕緣介電層610的厚度約為5至8 nm。例如在其他實施例中,在上述複數個重複的沉積與蝕刻循環的一單一的沉積步驟沉積的第一絕緣介電層610的厚度小於5 nm或大於8 nm。在一實施例中,在上述複數個重複的沉積與蝕刻循環的一單一的蝕刻步驟對於已沉積的第一絕緣介電層610蝕刻的厚度的量,是使所沉積的絕緣介電層被此蝕刻步驟移除的厚度與藉由此沉積步驟所沉積的絕緣介電材料的厚度之比,在約1:4與1:1之間。在一些實施例中,在一單一的蝕刻步驟對已沉積的第一絕緣介電層610移除的厚度是在2至5 nm。根據本發明實施例的實施形態不限於蝕刻步驟移除2至5 nm的第一絕緣介電層610。例如在其他實施例中,在一單一的蝕刻步驟對已沉積的第一絕緣介電層610移除的厚度是小於2 nm或大於5 nm。
請參考第6C圖,在一實施例中,是藉由使已沉積的第一絕緣介電層610接觸硫酸(舉例而言:96 wt%的硫酸)與過氧化氫(舉例而言:30 wt %的過氧化氫)的混合物(SPM),完成已沉積的第一絕緣介電層610的蝕刻或移除。適當的硫酸與過氧化氫混合物(SPM)的例子的特徵在於硫酸對過氧化氫的體積比例在1:4與4:1之間。根據本發明實施例的實施形態不限於使用具有硫酸對過氧化氫的體積比例在1:4與4:1之間的硫酸與過氧化氫混合物(SPM)。例如在其他實施例中,可以使用具有硫酸對過氧化氫的體積比例為小於1:4或大於4:1的硫酸與過氧化氫混合物(SPM)。根據一些實施例,上述硫酸與過氧化氫混合物(SPM)與第一絕緣介電層610的接觸歷時1至10分鐘之間。在一些實施例中,上述硫酸與過氧化氫混合物(SPM)與第一絕緣介電層610的接觸是在約50° C至180° C之間的溫度實行。根據本發明實施例的實施形態不限於在前述的溫度使已沉積的第一絕緣介電層610接觸上述硫酸與過氧化氫混合物(SPM)前述的時間長度。例如在其他實施例中,使已沉積的第一絕緣介電層610接觸上述硫酸與過氧化氫混合物(SPM)小於1分鐘的時間或大於10分鐘的時間。在其他實施例中,在低於50° C的溫度或高於180°的溫度,使已沉積的第一絕緣介電層610接觸上述硫酸與過氧化氫混合物(SPM)。一般而言,隨著使已沉積的第一絕緣介電層610接觸上述硫酸與過氧化氫混合物(SPM)的溫度的增加,則減少接觸的時間長度,反之亦然。上述硫酸與過氧化氫混合物(SPM)的硫酸對過氧化氫的體積比例亦將會影響用於上述蝕刻製程的時間長度與溫度。通常,隨著上述硫酸與過氧化氫混合物(SPM)的硫酸對過氧化氫的體積比例的增加,可以使上述蝕刻步驟的時間長度減少、可以使上述蝕刻步驟的溫度降低或使上述蝕刻步驟的時間長度減少且溫度降低,而仍然可以達成相同的蝕刻量。
根據其他實施例,可以以水來稀釋上述硫酸與過氧化氫混合物(SPM)。例如,依尚未稀釋的上述硫酸與過氧化氫混合物(SPM)的硫酸對過氧化氫的比例,可以以1:1至1:10的比例將上述硫酸與過氧化氫混合物(SPM)以水稀釋。根據本發明實施例的實施形態不限於以1:1至1:10的範圍的比例稀釋上述硫酸與過氧化氫混合物(SPM)。例如在其他實施例中,可以以低於1:1的比例或大於1:10的比例稀釋上述硫酸與過氧化氫混合物(SPM)。
在如第6C圖所示的一些實施例中,蝕刻後的第一介電材料層612包括在過渡區的一傾斜的表面614,傾斜的表面614在蝕刻後的第一絕緣介電層610的垂直部613的一表面與蝕刻後的第一絕緣介電層610的水平部611的一表面之間。此一傾斜的表面614的斜率,是在傾斜的表面614的蝕刻速率相較於在水平部611的表面的蝕刻速率、在垂直部613的表面的蝕刻速率及蝕刻前的第一絕緣介電層610的形狀的函數。
請參考第6D圖,根據本發明實施例的一實施形態,在蝕刻後的第一介電材料層612的上方,形成一第二絕緣介電層616。將前文所述關於第一絕緣介電層610的沉積,應用於第二絕緣介電層616的沉積。然後,蝕刻第二絕緣介電層616以製造的蝕刻後的第二介電材料層618。將前文所述關於第一絕緣介電層610的蝕刻,應用於第二絕緣介電層616的蝕刻。在繪示於第6D圖的實施例中,第二介電材料層618包括在過渡區的一傾斜的表面624,傾斜的表面624在蝕刻後的第二絕緣介電層616的垂直部620的一表面與蝕刻後的第二絕緣介電層616的水平部622的一表面之間。根據第6A至6E圖的實施例,在進行平坦化以完成在第5圖的介電部件560的形成之前,實行至少一個附加的介電材料的沉積及介電材料的蝕刻的循環。根據本發明實施例的實施形態,上述絕緣介電材料的一單一層的沉積與已沉積的上述絕緣介電材料的上述單一層的蝕刻的組合,是定義出形成絕緣塊144/介電部件的一循環。根據本發明實施例的實施形態,重複這個循環至少二次,而在其他實施例中則重複這個循環超過二次,以形成一系列的複數個介電材料層,其最終形成不含縫隙及空孔的一介電部件。不含縫隙及空孔的一介電部件的形成,減少了因為在介電部件存在縫隙或空孔而被剔退的晶圓的數量。如前文所述,在介電部件存在縫隙或空孔可能會導致包括具有縫隙或空孔的介電部件的裝置的剔退。此外,在介電部件存在縫隙或空孔,會對此介電部件保護此介電部件下層的部件(例如,介電堆疊物142、種晶層602或襯墊704)的能力造成不良影響。
請參考第5圖,根據本發明實施例的一實施形態,介電部件560形成一閘極隔離部件的一上部,並包括複數個介電材料層510、520與530以及複數個界面540與550。每個介電材料層510、520與530是藉由一沉積步驟及一後續的蝕刻步驟而形成。其結果,一界面540位於介電材料層510與520之間,一界面550位於介電材料層520與530之間。根據本發明實施例的實施形態不限於包括三層及二個界面的一介電部件。例如在其他實施例中,可以存在更多層及更多界面。根據本發明實施例的實施形態,在二個介電材料層之間的一界面的特徵在於,存在元素態的氧或元素態的氮。可以使用各種技術——包括能量分散X光光譜術(energy dispersive x-ray spectroscopy)或其他類似的技術,來偵測元素態的氧或元素態的氮。相信在這些界面存在元素態的氧或元素態的氮,是上述絕緣介電層的介電材料的沉積及/或蝕刻在氧或氮存在之下實行的結果。
請參考第7圖,顯示根據本發明實施例的一替代性的實施形態。根據繪示於第7圖的實施例,與第5與6A至6E圖共通的部件,是以與用於第5與6A至6E圖相同的元件符號標示。在此不再重複對這些共通的部件的說明。針對繪示於第7圖的實施例,關於前文參考第6A至6E圖對於第一絕緣介電層610與第二絕緣介電層616的沉積的敘述,亦應用於繪示於第7圖的介電部件560(介電插塞)的第一絕緣介電層610、第二絕緣介電層616與介電材料層530的沉積。根據繪示於第7圖的實施例,前文參考第6B與6C圖對第一絕緣介電層610的蝕刻所作的敘述不僅僅蝕刻第一絕緣介電層610之位於水平部611與垂直部613之間的位置的過渡區的傾斜的表面614的一部分,亦蝕刻/移除下層的鰭狀物側壁間隔物650之位於第一絕緣介電層610的垂直部與水平部之間的過渡區的一部分。對於下層的鰭狀物側壁間隔物650的一部分蝕刻的程度可能會有變動。例如,在繪示於第7圖的實施例中,將鰭狀物側壁間隔物650的垂直部沿著垂直部613的整個長度蝕刻為一變動程度,因此產生一傾斜表面652。在其他實施例中,對於鰭狀物側壁間隔物650的移除,發生在鰭狀物側壁間隔物650的垂直部沿著小於垂直部613的整個長度的部分。下層的鰭狀物側壁間隔物650的垂直部沿著垂直部613的整個長度蝕刻的結果,使介電部件560在其上表面654具有的寬度大於介電部件560在其下表面652的寬度。在其他實施例中,下層的鰭狀物側壁間隔物650並非在沿著垂直部613的整個長度的部分都受到蝕刻,僅有下層的鰭狀物側壁間隔物650在沿著垂直部613的部分長度的部分受到蝕刻。在這樣的其他實施例中,介電部件560在其上表面654具有的寬度大於介電部件560在其下表面656的寬度。
如第3E圖所繪示,在研磨之後,絕緣塊144的頂表面可以實質上共平面於圖形化的硬遮罩層506的頂表面。亦即,絕緣塊144可以具有一高度H 7,其可以基於圖形化的硬遮罩層506的高度而決定,或在另一實施例中是基於最上層的犧牲層404的高度而決定。在一些實施例中,絕緣塊144可以具有一高度H 7,其實質上類似於圖形化的硬遮罩層506的高度或最上層的犧牲層404的高度。在一些實施例中,絕緣塊144可以具有一高度H 7,其為約1 nm 至約50 nm的範圍或約4 nm 至約30 nm的範圍。在一些實施例中,介電堆疊物142的高度H 6(示於第3D圖)與絕緣塊144的高度H 7之間的比值,可以是約0.05與20之間的範圍或是0.125與8之間的範圍。
請參考第3F圖,可以選擇性地從示於第3E圖的已製造的結構移除圖形化的硬遮罩層506或最上層的犧牲層404。圖形化的硬遮罩層506的蝕刻可以使用對犧牲層404(舉例而言:矽鍺)及絕緣塊144具有高選擇比(舉例而言:大於1)的任何適當的乾式蝕刻製程或濕式蝕刻製程。在其他實施例中,存在較厚的最上層的犧牲層404時,圖形化的硬遮罩層506的蝕刻可以使用對犧牲層404(舉例而言:矽鍺)及絕緣塊144具有高選擇比(舉例而言:大於1)的任何適當的乾式蝕刻製程或濕式蝕刻製程。在其他實施例中,第3F圖的圖形化的硬遮罩層506的蝕刻,使用相對於犧牲層404不具高選擇比的一蝕刻製程,而移除最上層的犧牲層404,因此暴露出下層的通道層122A。在一些實施例中,用於移除圖形化的硬遮罩層506的蝕刻製程未實質上改變絕緣塊144的高度H 7。在一些實施例中,在形成絕緣塊144之後,每個垂直結構可以包括襯墊704、介電堆疊物142及形成在介電堆疊物142的上方的絕緣塊144。在一些實施例中,在形成絕緣塊144之後,每個垂直結構可以包括種晶層802、介電堆疊物142、襯墊704及形成在介電堆疊物142的上方的絕緣塊144,其中襯墊704接觸種晶層802及介電堆疊物142。
請參考第2圖,在操作310中,在上述第一垂直結構的上方與上述第二結構的上方形成一第一閘極結構。例如,如第3M圖所示,在垂直結構1402上形成多個第一閘極結構1602。第3G至3M圖為已部分完成製造的結構的等角透視圖及/或剖面圖,可以用來說明操作310的製造階段。請參考第3G圖,可以沿著一水平方向(舉例而言:Y軸)形成多個犧牲閘極結構1002,此水平方向(舉例而言:Y軸)直交於垂直結構402(舉例而言:鰭狀物堆疊物)與902的一縱長方向。犧牲閘極結構1002可以包括一犧牲閘極介電質1004與一犧牲閘極電極1012。在一些實施例中,犧牲閘極電極1012的一垂直尺寸可以在約90 nm至約200 nm的範圍。儘管第3G圖顯示二個犧牲閘極電極1012,但是可以形成彼此平行的任何數量的犧牲閘極電極1012。在一些實施例中,犧牲閘極結構1002可以更包括蓋層1006與硬遮罩層1008。作為範例而非限制,可以在沉積犧牲閘極電極1012之前沉積犧牲閘極介電質1004,且可以使犧牲閘極介電質1004介於垂直結構402與犧牲閘極電極1012之間。在一些實施例中,可以使犧牲閘極介電質1004介於垂直結構902與犧牲閘極電極1012之間。根據一些實施例,犧牲閘極介電質1004可以包括一介電常數介電材料,例如氧化矽或氮氧化矽,而犧牲閘極電極1012可以包括多晶矽(複晶矽)。作為範例而非限制,可以使用任何適當的沉積製程(舉例而言:物理氣相沉積或化學氣相沉積),將犧牲閘極介電質1004與犧牲閘極電極1012沉積為毯覆層(blanket layers),並使用微影及蝕刻的操作將犧牲閘極介電質1004與犧牲閘極電極1012圖形化,以在垂直結構402與902的上方形成犧牲閘極結構1002。
另外,在操作310中,可以如參考第3H至3J圖所作說明,形成間隔物結構1304(示於第3J圖)。請參考第3H圖,形成間隔物結構1304的製程可以包括在犧牲閘極結構1002的上方形成一閘極間隔物1154。第3H圖是在犧牲閘極結構1002的上方形成閘極間隔物1154之後的沿著第3G圖的線C-C的結構的剖面圖。儘管第3H圖顯示四個通道層122A至122D,在每個垂直結構402可以包含任何數量的通道層。此外,儘管在第3H圖的閘極間隔物1154包括二個間隔物層(間隔物層1154A與1154B),任何數量的間隔物層可以包含於閘極間隔物1154。形成閘極間隔物1154的製程可以包括表面處理與間隔物材料的沉積。在一些實施例中,上述表面處理可以包括將犧牲閘極結構1002暴露於一抑制劑,以在犧牲閘極結構1002的側壁上形成氫或氟終端化(H- or F-terminated)的表面。上述氫或氟終端化的表面可以促進上述間隔物材料的沉積。可以使用例如化學氣相沉積或原子層沉積來沉積上述間隔物材料。上述表面處理可以在上述沉積製程之前或上述沉積製程的期間施行。上述沉積製程可以後接例如氧電漿處理製程,以移除犧牲閘極結構1002上的一疏水性構件。在一些實施例中,上述間隔物材料可以包括:(i)一介電材料,例如氧化矽、碳化矽、氮化矽及氮氧化矽;(ii)氧化物材料;(iii)氮化物材料;(iv)一低介電常數材料;或是(v)上述之組合。在一些實施例中,閘極間隔物1154的每個間隔物層(舉例而言:間隔物層1154A與1154B)的上述間隔物材料可以彼此相同或彼此不同。形成閘極間隔物1154的製程可以更包括一蝕刻製程,以移除所沉積的上述間隔物材料的一部分。在一些實施例中,上述蝕刻製程可以是一非等向性蝕刻,與垂直表面(舉例而言:在Y-Z或X-Z平面上)比較,較快速地移除在水平表面(舉例而言:在X-Y平面上)上的上述間隔物材料。在一些實施例中,每個間隔物層1154A與1154B可以具有一厚度,其在約2 nm至約5 nm的範圍。
在形成閘極間隔物1154之後,可以沿著每個垂直結構402形成多個凹陷結構1201,以形成垂直結構1202。例如,如第3I圖所示,一形成凹陷結構1201的製程可以包括經由使用犧牲閘極結構1002與閘極間隔物1154作為硬遮罩的一回蝕製程,移除通道區122內的通道層、犧牲層404及緩衝區120。上述回蝕製程可以是使用與形成凹陷結構410類似的技術的一蝕刻製程。例如,上述蝕刻製程可以是一乾式蝕刻製程、一濕式蝕刻製程或上述之組合。在一些實施例中,上述乾式蝕刻製程可以使用反應性離子蝕刻,其使用氯基或氟基的蝕刻劑。在一些實施例中,形成凹陷結構1201的製程可以移除閘極間隔物1154的一部分,以形成閘極間隔物1254。例如,可以藉由在形成凹陷結構1201的製程的期間,分別蝕刻間隔物層1154A與1154B的上部(舉例而言:閘極間隔物1154位於犧牲閘極結構1002的上表面或其附近的部分),而形成間隔物1254A與1254B。在一些實施例中,在形成凹陷結構1201之後,閘極間隔物1254可以實質上與閘極間隔物1154相同(舉例而言:用於形成凹陷結構1201的上述製程的上述蝕刻製程對於閘極間隔物1154具有較低的蝕刻速率)。
在一些實施例中,形成間隔物結構1304的製程可以更包括形成內間隔物254。形成內間隔物254的製程可以包括形成凹陷結構1203與一間隔物材料填充每個凹陷結構1203。如第3I圖所示,形成凹陷結構1203的製程可以包括經由一選擇性蝕刻製程,將犧牲閘極電極1012下方的犧牲層404凹陷,以形成犧牲層1204。作為範例而非限制,通道區122內的通道層可以是矽層,而犧牲層404可以是矽鍺層,其中上述選擇性蝕刻製程可以是一乾式蝕刻製程,其對矽鍺具有選擇性。例如,鹵基(halogen-based)藥劑可以展現蝕刻選擇性——對於鍺較高、對於矽較低。因此,鹵素氣體對於鍺的蝕刻可以快於對於矽的蝕刻。另外,鹵素氣體對於矽鍺的蝕刻可以快於對於矽的蝕刻。因此,可以將上述選擇性蝕刻設計為:在形成凹陷結構1203之後,不移除上述通道層。在一些實施例中,上述鹵基藥劑可以包括氟基(fluorine-based)及/或氯基(chlorine-based)氣體。或者,可以使用對矽鍺具有高選擇性的一濕式蝕刻藥劑。作為範例而非限制,一濕式蝕刻藥劑可以包括硫酸(H 2SO 4)與過氧化氫(H 2O 2)混合物(SPM)或是氫氧化銨與過氧化氫及水混合物(APM)。每個凹陷結構1203的填充可以包括:在凹陷結構1201與1203沉積一毯覆膜;以及移除在凹陷結構1203的外側的上述毯覆膜。用以形成及移除上述毯覆膜的製程,可以使用與形成閘極間隔物1154類似的技術。例如,形成上述毯覆膜的製程可以包括:使用化學氣相沉積或原子層沉積來沉積一介電材料;移除上述毯覆膜的製程可以包括:使用一乾式蝕刻製程、一濕式蝕刻製程或上述之組合。在一些實施例中,如第3J圖所示,每個內間隔物254可以具有一厚度t 1,其在約1 nm至約9 nm的範圍。
在一些實施例中,形成內間隔物254的製程可以亦移除閘極間隔物1254的一部分,以形成閘極間隔物1354。例如,可以藉由在形成內間隔物254的製程的期間,分別移除間隔物層1254A與1254B的上部,而形成間隔物1354A與1354B。在一些實施例中,在形成內間隔物254之後,閘極間隔物1354可以實質上與閘極間隔物1254相同。其結果,間隔物結構1304可以包括閘極間隔物1354與內間隔物254。
請參考第2圖,在操作310中,在形成間隔物結構1304之後,可以藉由在凹陷結構1201磊晶成長源極─汲極堆疊物而形成源極─汲極區124。源極─汲極區124的磊晶成長可以使用與用於形成通道區122而成長通道層及/或成長犧牲層404類似的一磊晶成長製程。在一些實施例中,上述磊晶成長製程可以成長至少一個矽鍺層或至少一個矽層,以形成源極─汲極區124。例如,如第3K圖所示,上述磊晶成長製程可以在凹陷結構1201成長三個矽鍺層。上述磊晶成長製程可以使用p型摻雜前驅物或n型摻雜前驅物來就地摻雜源極─汲極區124。作為範例而非限制,上述p型摻雜前驅物可以包括二硼烷(diborane;B 2H 6)、三氟化硼(boron trifluoride;BF 3),而上述p型摻雜前驅物可以包括膦(phosphine;PH 3)、胂(arsine;AsH 3)或其他適當的材料。在一些實施例中,上述磊晶成長製程可以形成源極─汲極區124,其中源極─汲極區124的頂部可以高於通道區122內的最上層的通道層(舉例而言:122A)的頂部。在一些實施例中,上述磊晶成長製程可以形成源極─汲極區124,其中源極─汲極區124的頂部可以實質上共平面於犧牲閘極結構1002的底部。在一些實施例中,用以形成源極─汲極區124的上述磊晶成長製程可以從垂直結構1202形成垂直結構1402,其中垂直結構1402可以是鰭式場效電晶體106的一實施形態。
另外,在操作310中,可以如參考第3L至3M圖所作說明,形成一接觸蝕刻停止層1622與絕緣層206。形成接觸蝕刻停止層1622與絕緣層206的製程可以包括沉積一接觸蝕刻停止層1522與一絕緣層1506(示於第3L圖)。接觸蝕刻停止層1522可以包括氮化矽、氮氧化矽、碳化矽、氮化硼、氮化矽硼(silicon boron nitride)、氮化硼與碳化矽的一複合物或上述之組合,且可以使用任何適當的沉積製程形成,例如低壓化學氣相沉積、電漿輔助化學氣相沉積、化學氣相沉積或原子層沉積。
絕緣層1506可以是一低介電常數介電材料,其使用適用於流動式的介電材料(舉例而言:流動式氧化矽)的一沉積方法而沉積。例如,可以使用流動式化學氣相沉積來沉積流動式氧化矽,用於絕緣層1506。形成接觸蝕刻停止層1622與絕緣層206的製程可以更包括施加一研磨製程(舉例而言:化學機械研磨),以移除接觸蝕刻停止層1522的一部分及絕緣層1506的一部分。在一些實施例中,上述研磨製程亦可以移除犧牲閘極結構1002以形成第一閘極結構1602。例如,上述研磨製程可以移除硬遮罩層1008、蓋層1006、犧牲閘極電極1012的上部以及閘極間隔物1354的上部。其結果,如第3M圖所示,形成接觸蝕刻停止層1622與絕緣層206的製程可以同時形成第一閘極結構1602,第一閘極結構1602包括犧牲閘極介電質1004、置於犧牲閘極介電質1004的上方的犧牲閘極電極1612以及嵌入犧牲閘極電極1612與犧牲閘極介電質1004的間隔物1604,其中間隔物1604可以包括內間隔物254與閘極間隔物1654。在一些實施例中,上述研磨製程可以移除間隔物1354A與1354B的一部分,以分別形成閘極間隔物1654A與1654B。在一些實施例中,如第3M圖所示,第一閘極結構1602的一垂直尺寸Hg可以在約50 nm至約120 nm的範圍。
請繼續參考第2圖,在操作315中,在第3M圖中的每個第一閘極結構1602形成一凹陷結構。將這樣的凹陷結構形成為水平地(舉例而言:在X方向)位於絕緣層206(舉例而言:層間介電質結構130) 之間,以暴露出絕緣層206的一部分。形成上述凹陷結構可以包括:使用對於第3M圖中的犧牲閘極電極1612具有較高的蝕刻速率且對於第3M圖中的閘極間隔物1654(舉例而言:閘極間隔物1654A與1654B)具有較低的蝕刻速率(舉例而言:選擇比大於1)的一乾式蝕刻製程(舉例而言:反應性離子蝕刻)或一濕式蝕刻製程,將第3M圖中的犧牲閘極電極1612的一部分凹陷。在一些實施例中,用於上述乾式蝕刻製程針對移除犧牲閘極電極1612的氣體蝕刻劑可以包括氯、氟或溴。在一些實施例中,可以使用一NH 4OH濕式蝕刻,來移除犧牲閘極電極1612的上述部分。在一些實施例中,可以使用一乾式蝕刻後接一濕式蝕刻,來移除犧牲閘極電極1612的上述部分。
形成上述凹陷結構的上述製程可以更包括:移除第3M圖中的間隔物1604的一部分。在一些實施例中,移除部分的閘極間隔物1654A及部分的閘極間隔物1654B。移除部分的間隔物1604的製程可以包括對於犧牲閘極電極1612的餘留部分具有低蝕刻速率(舉例而言:選擇比大於1)的一乾式蝕刻製程或一濕式蝕刻製程。在一些實施例中,用於移除部分的間隔物1604的上述乾式蝕刻製程或上述濕式蝕刻製程可以對於第3M圖中的接觸蝕刻停止層1622具有低蝕刻速率(舉例而言:選擇比大於1)。在一些實施例中,形成上述凹陷結構的上述製程可以更包括:形成一接觸蝕刻停止層,其藉由使用類似於移除部分的間隔物1604的蝕刻製程,例如為對於接觸蝕刻停止層1622具有較高的蝕刻速率且對於絕緣層206及/或閘極間隔物1654具有較低的蝕刻速率(舉例而言:選擇比大於1)的一乾式蝕刻製程或一濕式蝕刻製程。
形成上述凹陷結構的上述製程更包括:經由一蝕刻製程——其技術類似於用於移除犧牲閘極電極1612的其他部分的技術,移除犧牲閘極電極1612的餘留部分。例如上述蝕刻製程可以包括一乾式蝕刻製程(舉例而言:反應性離子蝕刻)或一濕式蝕刻製程,其對於犧牲閘極電極1612具有較高的蝕刻速率且對於犧牲層1204具有較低的蝕刻速率(舉例而言:選擇比大於1)。形成上述凹陷結構的上述製程可以更包括:經由例如一濕式蝕刻製程等的任何適當的蝕刻製程,移除犧牲閘極介電質1004,以暴露出第3I圖中的犧牲層1204的最頂部。犧牲閘極電極1012及犧牲閘極介電質1004的餘留部分的移除,可以亦暴露出部分的間隔物結構1304的側表面。在一些實施例中,第3J圖中的部分的間隔物結構1304可以代表一間隔物的結構。在一些實施例中,可以移除間隔物結構1304的一部分以形成一間隔物的結構,其使用任何適當的蝕刻製程,例如為一乾式蝕刻製程或一濕式蝕刻製程。例如,可以移除這些間隔物的底部的一部分。其結果,每個第一閘極結構1602可以包括凹陷結構而暴露出最頂部的犧牲層1204的頂部、上述間隔物的結構的側表面、上述間隔物的結構的頂表面以及絕緣層206的層間介電質結構130的側表面。在一些實施例中,凹陷結構暴露出通道區122內的一最頂部的通道層。
另外,在操作315,在形成前述的凹陷結構之後,形成數個隔離結構。形成隔離結構108的製程可以包括:分別從一或多個垂直結構902移除一或多個絕緣塊144。移除一或多個絕緣塊144的製程可以包括:在一選擇的垂直結構902上圖形化一硬遮罩堆疊物;以及使用上述硬遮罩堆疊物蝕刻絕緣塊144。其結果,在上述蝕刻製程之後,可以移除在上述硬遮罩堆疊物外側的一或多個絕緣塊144,而被上述硬遮罩堆疊物覆蓋的其他絕緣塊144可以留在垂直結構902。作為例示且非限制,成組的絕緣塊144的蝕刻可以包括對於晶種層802及/或犧牲層404具有較低的蝕刻速率(舉例而言:選擇比大於1)的一乾式蝕刻製程或一濕式蝕刻製程。
形成隔離結構108的製程可以更包括:移除晶種層802以及移除襯墊704的一部分,以暴露出介電堆疊物142的側壁及/或絕緣塊144的側壁。移除晶種層802的製程可以包括對於晶種層802具有較高的蝕刻速率且對於通道區122具有較低的蝕刻速率的任何適當的蝕刻製程。例如,通道區122可以包括矽,而晶種層802可以包括矽鍺。因此,可以使用相對於矽而選擇性蝕刻矽鍺的一選擇性蝕刻製程來移除晶種層802。移除襯墊704的製程可以形成在介電堆疊物142的下方的襯墊;襯墊704的移除可以經由一乾式蝕刻製程、一濕式蝕刻製程或上述之組合。在一些實施例中,形成隔離結構108的製程可以亦包括:一裁減(trimming)製程以減少隔離結構108的一寬度(W 2)。在一些實施例中,隔離結構108可以具有一寬度W 2,其大於或等於約6 nm或大於或等於約3 nm。
在一些實施例中,形成隔離結構108的製程可以更包括:使用類似於移除晶種層802的技術來移除犧牲層1204。例如,可以使用對於犧牲層1204具有較高的蝕刻速率且對於通道區122具有較低的蝕刻速率的一選擇性蝕刻製程,來移除犧牲層1204。其結果,通道區122內的通道層(舉例而言:122A至122D)可以成為在每個第一閘極結構1602下方的一奈米片結構或一奈米線結構。在一些實施例中,對於通道區122形成上述奈米片結構或上述奈米線結構的製程可以從垂直結構1202形成垂直結構,其中上述垂直結構可以是鰭式場效電晶體106的一實施形態。在一些實施例中,上述垂直結構可以是一鰭狀物結構(舉例而言:裝置100A為一鰭式場效電晶體)。
請參考第2圖,在操作320,以一第二閘極結構110替換第一閘極結構1602。以第二閘極結構110替換第一閘極結構1602的製程可以包括:以一介電層與一閘極電極層填入在層間介電結構130的絕緣層206之間的凹陷結構。一介電層的填充可以包括:在層間介電結構130的側表面的上方、上述間隔物結構的頂表面的上方以及上述間隔物結構的側表面的上方(舉例而言:共形地)沉積一介電層。另外,一介電層的填充可以更包括:在每個絕緣塊144的頂部與側部的上方、每個介電堆疊物142的頂表面的上方以及通道區122內的每個通道層(舉例而言:122A至122D)的頂部與側部的上方(舉例而言:共形地)沉積一介電層。在一些實施例中,一介電層的填充可以亦包括:在一組介電堆疊物142的頂部的上方以及通道區122內的每個通道層(舉例而言:122A至122D)的底部的上方(舉例而言:共形地)沉積一介電層。在一些實施例中,一介電層的填充可以亦包括:在每個淺溝槽隔離區104的頂部的一部分的上方(舉例而言:共形地)沉積一介電層與一閘極電極。
第二閘極結構110的上述介電層可以包括氧化矽並可以藉由化學氣相沉積、原子層沉積、物理氣相沉積、電子束蒸鍍或其他適當的製程來形成。在一些實施例中,上述介電層可以包括:(i)一層氧化矽、氮化矽及/或氮氧化矽;(ii)一高介電常數介電材料,例如氧化鉿(HfO 2)、氧化鈦(TiO 2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta 2O 3)、矽酸鉿(HfSiO 4)、氧化鋯(ZrO 2)、矽酸鋯(ZrSiO 4);(iii)一高介電常數介電材料,具有以下的氧化物:鋰(Li)、鈹(Be)、鎂(Mg)、鈣(Ca)、鍶(Sr)、鈧(Sc)、釔(Y)、鋯(Zr)、鋁(Al)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、鐿(Yb)或鎦(Lu);或是(iv)上述之組合。上述高介電常數介電層的形成,可以藉由原子層沉積及/或其他適當的方法。在一些實施例中,上述介電層可以包括一單一層或複數個絕緣材料層的一堆疊物。
在一介電層的填充之後,一閘極電極的填充可以包括:在上述介電層的上方沉積一閘極電極。上述閘極電極可以包括一單一金屬層或複數個金屬層的一堆疊物。上述複數個金屬層的堆疊物可以包括彼此不同的多種金屬。在一些實施例中,一閘極電極可以包括一適當的導電材料,例如Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN、Cu、W、Co、Ni、TiC、TiAlC、TaAlC、金屬合金及/或上述之組合。可以藉由原子層沉積、化學氣相沉積、物理氣相沉積或其他適當的沉積製程,來形成上述閘極電極。
以第二閘極結構110替換第一閘極結構1602的製程可以亦包括:經由一研磨製程(舉例而言:化學機械研磨)將所沉積的介電層及閘極電極平坦化。上述研磨製程可以將上述介電層及上述閘極電極的頂表面與層間介電結構130(舉例而言:絕緣層206)的頂表面平坦化。
以第二閘極結構110替換第一閘極結構1602的製程可以更包括:將已平坦化的上述介電層的一部分凹陷,以形成介電層112;以及將已平坦化的上述閘極電極的一部分凹陷。例如,形成於層間介電結構130的側表面的上方以及上述間隔物結構的頂表面的上方的已平坦化的上述介電層的一部分以及將已平坦化的上述閘極電極的一部分,可以藉由一金屬―閘極介電質蝕刻製程(metal-gate-dielectric etching process)而移除。作為例示且非限制,上述金屬―閘極介電質蝕刻製程可以是任何適當的乾式蝕刻製程及/或任何適當的濕式蝕刻製程,其對上述介電層及上述閘極電極均進行蝕刻。
在形成介電層112之後,以第二閘極結構110替換第一閘極結構1602的製程亦可以包括:藉由進一步凹陷已凹陷的上述閘極電極的上部而針對介電層112形成凹陷結構,以藉由一金屬―閘極蝕刻製程(metal-gate etching process)形成閘極電極114。作為例示且非限制,上述金屬―閘極蝕刻製程可以是任何適當的乾式蝕刻製程及/或任何適當的濕式蝕刻製程,其可以相對於介電層112而選擇性蝕刻上述閘極電極(舉例而言:蝕刻選擇比大於1)。例如,上述金屬―閘極蝕刻製程可以相對於介電層112而選擇性移除形成在介電層112的上部的上方的上述閘極電極。在這樣的金屬―閘極蝕刻製程之後,可以暴露出介電層112的上部,而介電層112的下部卻仍然被餘留的閘極電極114覆蓋。由於上述金屬―閘極蝕刻製程對於介電層112的蝕刻效果可以忽略,在形成閘極電極114之後,介電層112可以維持覆蓋上述間隔物結構的側表面。其結果,介電層112可以在例如形成金屬接觸件/互連等的積體電路的後續的製造步驟的期間,保護上述間隔物結構的完整性。
另外,可以將上述金屬―閘極蝕刻製程配置為相對於絕緣塊144而選擇性蝕刻上述閘極電極。例如,在形成閘極電極114的製程之後,閘極電極114的頂表面可以實質上與絕緣塊144的頂表面共平面或實質上低於絕緣塊144的頂表面。換句話說,絕緣塊144苦以在形成閘極電極114的製程的期間保護下層的介電堆疊物142,因此在以第二閘極結構110替換第一閘極結構1602之後,保護隔離結構108的完整性。
在一些實施例中,在形成閘極電極114的上述金屬―閘極蝕刻製程之後,形成閘極電極114的製程可以更包括在閘極電極114的上方成長一上電極。上述上電極可以包括一低阻值金屬,例如鎢,且可以經由一鍍覆或使用類似於形成閘極電極114的技術的一沉積例如原子層沉積、物理氣相沉積及化學氣相沉積,來成長上述上電極。
請參考第2圖,在操作325中,形成複數個源極/汲極接觸結構。形成源極/汲極接觸結構的製程可以包括在絕緣層206(舉例而言:層間介電結構130)內形成複數個源極/汲極接觸開口。形成源極/汲極接觸開口的製程可以包括:移除絕緣層206之覆於源極─汲極區124上的部分;以及移除上述接觸蝕刻停止層之在絕緣層206的已蝕刻的部分的下方的部分。移除部分的絕緣層206的製程可以包括使用光學微影進行圖形化,以暴露出在絕緣層206的上表面上的區域,上述區域對應於絕緣層206的即將被移除的部分。可以藉由一乾式蝕刻製程來移除絕緣層206的上述部分。絕緣層206的上述部分的蝕刻之後,可以接著進行上述接觸蝕刻停止層之在層間介電結構130的已蝕刻的部分的下方的部分的一乾式蝕刻。在一些實施例中,用於移除絕緣層206及/或上述接觸蝕刻停止層的上述乾式蝕刻製程可以是一氟基製程。
形成源極/汲極接觸結構的製程可以更包括:在上述源極/汲極接觸開口內形成金屬矽化物層及/或導電區。在一些實施例中,用於形成上述金屬矽化物層的金屬可以包括鈷、鈦及鎳。在一些實施例中,上述金屬是藉由原子層沉積或化學氣相沉積而沉積,以沿著上述源極/汲極接觸開口的表面形成擴散阻障層。這個擴散阻障層的沉積之後,接著在約700° C.至約900° C.的範圍的溫度進行一快速熱退火製程,以形成上述金屬矽化物層。
在上述源極/汲極接觸開口內形成導電區的製程可以包括沉積一導電材料,後接一研磨製程,以使上述導電區的頂表面與層間介電結構130的頂表面共平面化。上述導電材料可以是例如鎢、鋁、鈷、銅或一適當的導電材料,且可以藉由例如物理氣相沉積、化學氣相沉積或原子層沉積來沉積上述導電材料。用於使上述導電區的頂表面與層間介電結構130的頂表面共平面化的研磨製程,可以是一化學機械研磨製程。在一些實施例中,上述化學機械研磨製程可以使用矽研磨劑或鋁研磨劑,其研磨劑濃度在約0.1% 至約3%的範圍。在一些實施例中,上述矽研磨劑或鋁研磨劑可以具有小於7的pH值水平,用於在上述導電區使用鎢(W)金屬;或是可以具有大於7的pH值水平,用於在上述導電區使用鈷(Co)金屬或銅(Cu)金屬。
另外,在操作325,可以在第1圖中的第二閘極結構110的上方及源極/汲極接觸結構124的上方,形成一互連結構。例如,可以將上述互連結構形成在第二閘極結構110的上方及上述源極/汲極接觸結構的上方。在一些實施例中,形成上述互連結構的製程可以包括:在上述源極/汲極接觸結構的上方,沉積一產線中段(MEOL)絕緣層;在上述產線中段絕緣層內形成多個溝槽開口,以暴露出閘極電極114的一部分及上述源極/汲極接觸結構的一部分;以及將一溝槽導體形成至上述溝槽開口中,並使上述溝槽導體接觸閘極電極114及/或上述源極/汲極接觸結構。在一些實施例中,形成上述溝槽開口的製程可以使用與形成上述源極/汲極接觸開口類似的技術,例如一光學微影製程、一濕式蝕刻製程或一乾式蝕刻製程。在一些實施例中,形成溝槽導體的製程可以使用針對上述源極/汲極接觸結構形成接觸區的類似技術,例如一沉積製程與一研磨製程。
請參考第4圖,根據本發明實施例的一方法450的一實施形態包括操作452,形成一第一鰭狀物堆疊物與形成一第二鰭狀物堆疊物。形成一第一鰭狀物堆疊物與形成一第二鰭狀物堆疊物的例子包括已說明的用於形成多個垂直結構402的方法,其是參考前文對第3F圖所作說明。方法450包括操作454,在上述第一鰭狀物堆疊物與上述第二鰭狀物堆疊物之間的一開口,形成一非活性鰭狀物。在上述第一鰭狀物堆疊物與上述第二鰭狀物堆疊物之間的一開口形成一非活性鰭狀物的例子包括:形成第1圖中的隔離結構108以及第3D圖中的部分的垂直結構902。方法450包括操作456,藉由交互、重複的沉積與蝕刻步驟,在上述非活性鰭狀物的上方形成一介電部件。藉由交互、重複的沉積與蝕刻步驟在上述非活性鰭狀物的上方形成一介電部件的一例,是前文針對形成絕緣塊144所作說明。在方法450的操作458,在上述第一鰭狀物堆疊物與上述第二鰭狀物堆疊物的上方形成閘極結構。在上述第一鰭狀物堆疊物與上述第二鰭狀物堆疊物的上方形成閘極結構的例子包括:前文針對第3M圖中的第一閘極結構1602的形成所說明的步驟。
第8圖是在製造的一中間階段的一積體電路裝置800的另一實施例的透視圖。第8圖的積體電路裝置800類似於前文參考第1、2、3A至3M、4、5、6A至6E、7圖所說明的裝置,且包括三個介電部件560a、560b與560c以及四個金屬閘極結構114a、114b、114c、114d(後文會有合稱為金屬閘極結構114a至114d的情況),其中介電部件560a、560b與560c形成於介電堆疊物142(非活性鰭狀物)的上方,金屬閘極結構114a至114d藉由三個介電部件560a、560b與560c的組合再與三個介電堆疊物142(非活性鰭狀物)的組合而局部地彼此隔離。積體電路裝置800包括複數個淺溝槽隔離區104(淺溝槽隔離部件),其類似於前文參考第1、3A至3M、5圖所作說明之形成於基底102的淺溝槽隔離區104(淺溝槽隔離部件)。積體電路裝置800亦包括通道區122、源極─汲極區124、閘極電極114、襯墊702以及一介電質堆疊物142(或非活性鰭狀物),例如前文參考第1、3A至3M、5圖所作說明之通道區122、源極─汲極區124、閘極電極114、襯墊702以及介電質堆疊物142(或非活性鰭狀物)。在此製造的中間接段的積體電路裝置800亦包括一層間介電質802、一蝕刻停止層804、一閘極間隔物806、一互連結構808(舉例而言:產線中段的互連)以及一絕緣層810(舉例而言:產線中段的絕緣部件)。參考第1與3A至3M圖的介電部件560及其形成的說明,應用於第8圖的介電部件560a、560b與560c。第8圖的介電部件560b包括一上部560U,上部560U在絕緣層810內。上部560U是藉由圖形化絕緣層810、沉積介電材料至圖形化的絕緣層810中、蝕刻所沉積的上述介電材料以及平坦化已蝕刻的上述介電材料,而形成於絕緣層810。上部560U的形成可以使用前文針對介電部件560的形成所說明的介電材料的循環性的沉積與蝕刻。介電部件560b亦包括一下部560L,下部560L在金屬閘極結構114b與114c之間(其包括共用於二個閘極鰭狀物結構(舉例而言:奈米片閘極結構的二個垂直堆疊物)的一金屬閘極結構)。根據一些實施例,下部560L對應於前文敘述的介電部件560。在繪示於第8圖的實施例中,上部560U具有一寬度在Y方向,其小於下部560L在Y方向的寬度。在本發明實施例的其他實施形態中,上部560U具有一寬度在Y方向,其大於下部560L在Y方向的寬度。根據本發明實施例的實施形態,根據本發明實施例形成的終端裝置包括介電部件560b,介電部件560b包括上部560U與下部560L,上部560U與下部560L具有如前述的特性。根據本發明實施例的實施形態,將關於介電部件560b的上部560U與下部560L的前述說明相同地應用於介電部件560a與560c。在第8圖所繪示的實施例中,介電部件560a將部分的金屬閘極結構114a與部分的金屬閘極結構114b分離且隔離。同樣地,介電部件560c將部分的金屬閘極結構114c與部分的金屬閘極結構114d分離且隔離。
在本發明實施例的一實施形態,是揭露一種裝置,其包括一基底。一第一半導體通道在該基底的上方;一第二半導體通道是與該第一半導體通道橫向偏離,且在該基底的上方。一第一閘極結構在該第一半導體通道的上方且橫向地在該第一半導體通道的周圍;一第二閘極結構在該第二半導體通道的上方且橫向地在該第二半導體通道的周圍。一隔離結構在該第一閘極結構與該第二閘極結構之間。該隔離結構包括一非活性(inactive)鰭狀物與一介電部件,該介電部件延伸而遠離該非活性鰭狀物。該介電部件不含空孔(voids)且包括多層介電材料,上述多層介電材料經由交互沉積與蝕刻的步驟而形成。
在一實施例中,上述介電部件具有大於2的一高寬比(aspect ratio)。
在一實施例中,上述非活性鰭狀物包括:一第一介電部件,具有一第一介電常數;以及一襯層,在上述非活性鰭狀物的上述第一介電部件與上述第一閘極結構之間。
在一實施例中,上述介電部件包括一高介電常數介電材料。
在一實施例中,上述介電部件包括一低介電常數介電材料。
在另一實施形態,所敘述的裝置包括:一基底;一第一半導體通道,在上述基底的上方;以及一第二半導體通道,在上述基底的上方。上述第二半導體通道與上述第一半導體通道橫向偏離。一第一閘極結構是在上述第一半導體通道的上方,而一第二半導體通道是在上述第一半導體通道的上方。一非活性鰭狀物是在上述第一閘極結構與上述第二閘極結構之間。一介電部件高於上述非活性鰭狀物,並包括多層的一半導體材料。多層的上述半導體材料是經由三或更多道的原子層沉積而形成,並在上述多層的鄰近層之間包括至少一界面層,上述至少一界面層的特徵在於存在元素態的氧或氮。
在一實施例中,上述第一半導體通道以5奈米與30奈米之間的距離,與上述第二半導體通道橫向偏離。
在一實施例中,上述介電部件形成在一凹部,上述凹部具有在2:1與6:1之間的一高寬比(aspect ratio)。
在一實施例中,上述第一半導體通道與上述第二半導體通道為奈米片(nano-sheets)或奈米線(nanowires)。
在本發明實施例的另一實施形態,一種方法包括在一基底的上方形成一第一鰭堆疊物與一第二鰭堆疊物。一非活性鰭狀物是形成在上述第一鰭堆疊物與上述第二鰭堆疊物之間的一開口中。藉由在上述非活性鰭狀物的上方沉積一介電材料、蝕刻所沉積的上述介電材料以及重複上述沉積與蝕刻步驟至少二次,在上述非活性鰭狀物的上方形成一介電部件。根據本實施例,在上述第一鰭堆疊物的上方形成一第一閘極結構,在上述第二鰭堆疊物的上方形成一第二閘極結構,其中上述第一閘極結構是藉由上述非活性鰭狀物及上述介電部件而與上述第二閘極結構隔離。
在一實施例中,在約50° C與180° C之間的溫度,實行所沉積的上述介電材料的蝕刻。
在一實施例中,上述介電材料是一高介電常數介電材料或一低介電常數介電材料。
在一實施例中,沉積一介電材料包括藉由原子層沉積來沉積一介電材料。
在一實施例中,蝕刻所沉積的上述介電材料包括使所沉積的上述介電材料接觸硫酸與過氧化氫的混合物。
在一實施例中,硫酸與過氧化氫的上述混合物具有硫酸對比於過氧化氫的比例,其在1:4與4:1之間。
在一實施例中,將硫酸與過氧化氫的上述混合物,以1:1至1:10之間的範圍的量作稀釋。
在一實施例中,上述開口具有大於2的一高寬比。
在一實施例中,在1至10分鐘之間,實行使所沉積的上述介電材料接觸硫酸與過氧化氫的上述混合物。
在一實施例中,所沉積的上述介電材料被上述蝕刻所移除的厚度對比於上述介電材料被上述沉積所沉積的厚度的比例為1:4與1:1之間。
在一實施例中,上述方法更包括在所沉積的上述介電材料的二層之間的界面納入元素態的氧或氮。
前述內文概述了許多實施例的特徵,使所屬技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。所屬技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。所屬技術領域中具有通常知識者也應了解這些均等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100A:裝置 102:基底 104:淺溝槽隔離區 106:鰭式場效電晶體 108:隔離結構 110:第二閘極結構 112:介電層 114:閘極電極 114a,114b,114c,114d:金屬閘極結構 116:襯墊 120:緩衝區 122:通道區 122A,122B,122C,122D,122E,122F:通道層 124,124A,124B:源極─汲極區 130:層間介電質結構 142:介電堆疊物 144:絕緣塊 206:絕緣層 254:內間隔物 300:方法 305,310,315,320,325:操作 402:垂直結構 404:犧牲層 406:圖形化的硬遮罩層 408:保護層 410:凹陷結構 450:方法 452,454,456,458:操作 506:圖形化的硬遮罩層 510,520,530:介電材料層 540,550:界面 560,560a,560b,560c:介電部件 560L:下部 560U:上部 602,802:種晶層 610:第一絕緣介電層 611,622:水平部 612:第一介電材料層 613,620:垂直部 614,624:傾斜的表面 616:第二絕緣介電層 618:第二介電材料層 650:鰭狀物側壁間隔物 652:傾斜表面 654:上表面 656:下表面 704:襯墊 710:凹陷結構 800:積體電路裝置 802:層間介電質 804:蝕刻停止層 806:閘極間隔物 808:互連結構 810:絕緣層 902:垂直結構 1002:犧牲閘極結構 1004:犧牲閘極介電質 1006:蓋層 1008:硬遮罩層 1012:犧牲閘極電極 1154:閘極間隔物 1154A,1154B:間隔物層 1201,1203:凹陷結構 1202:垂直結構 1204:犧牲層 1254:閘極間隔物 1254A,1254B:間隔物 1304:間隔物結構 1354:閘極間隔物 1354A,1354B:間隔物 1402:垂直結構 1506:絕緣層 1522:接觸蝕刻停止層 1602:第一閘極結構 1604:間隔物 1612:犧牲閘極電極 1622:接觸蝕刻停止層 1654,1654A,1654B:閘極間隔物 C-C,D-D:線 H 6,H 7:高度 Lg:水平尺寸 P 1:間隔 t 1:厚度
藉由以下的詳述配合閱讀所附圖式可更加理解本發明實施例的內容。要強調的是,根據產業上的標準作業,各個部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,可能任意地放大或縮小各個部件的尺寸。 第1圖是根據本發明實施例的實施形態的一半導體裝置的等角透視圖。 第2圖是一流程圖,繪示根據本發明實施例的各種態樣的半導體裝置的製造方法。 第3A圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的等角透視圖。 第3B圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的等角透視圖。 第3C圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的等角透視圖。 第3D圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的等角透視圖。 第3E圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的等角透視圖。 第3F圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的等角透視圖。 第3G圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的等角透視圖。 第3H圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的剖面圖。 第3I圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的剖面圖。 第3J圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的剖面圖。 第3K圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的剖面圖。 第3L圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的剖面圖。 第3M圖是根據本發明實施例的各種態樣而在製造階段的積體電路裝置的各種實施例的剖面圖。 第4圖是一流程圖,繪示根據本發明實施例的各種態樣的半導體裝置的製造方法。 第5圖是第3E圖的積體電路裝置的放大圖,顯示一絕緣區塊內的多層及上述多層之間的界面。 第6A圖是根據本發明實施例的一實施形態而在製造的一中間階段的積體電路裝置的示意性的剖面圖。 第6B圖是根據本發明實施例的一實施形態而在製造的一中間階段的積體電路裝置的示意性的剖面圖。 第6C圖是根據本發明實施例的一實施形態而在製造的一中間階段的積體電路裝置的示意性的剖面圖。 第6D圖是根據本發明實施例的一實施形態而在製造的一中間階段的積體電路裝置的示意性的剖面圖。 第6E圖是根據本發明實施例的一實施形態而在製造的一中間階段的積體電路裝置的示意性的剖面圖。 第7圖是根據本發明實施例的一實施形態而在製造的一中間階段的積體電路裝置的一替代性的實施例的放大圖。 第8圖是根據本發明實施例的一實施形態而在製造的一中間階段的積體電路裝置的一替代性的實施例的透視圖。
100A:裝置
102:基底
104:淺溝槽隔離區
106:鰭式場效電晶體
108:隔離結構
110:第二閘極結構
112:介電層
114:閘極電極
116:襯墊
120:緩衝區
122:通道區
122A,122B,122C,122D,122E,122F:通道層
124,124A,124B:源極-汲極區
130:層間介電質結構
Lg:水平尺寸

Claims (1)

  1. 一種半導體裝置,包括: 一基底; 一第一半導體通道,在該基底的上方; 一第二半導體通道,在該基底的上方,與該第一半導體通道橫向偏離; 一第一閘極結構,在該第一半導體通道的上方且橫向地在該第一半導體通道的周圍; 一第二閘極結構,在該第二半導體通道的上方且橫向地在該第二半導體通道的周圍;以及 一隔離結構,在該第一閘極結構與該第二閘極結構之間,該隔離結構包括一非活性(inactive)鰭狀物與一介電部件,該介電部件延伸而遠離該非活性鰭狀物,該介電部件不含空孔(voids)且包括多層介電材料,上述多層介電材料經由交互沉積與蝕刻的步驟而形成。
TW111108993A 2021-04-09 2022-03-11 半導體裝置 TW202240711A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163173037P 2021-04-09 2021-04-09
US63/173,037 2021-04-09
US17/481,668 US20220328478A1 (en) 2021-04-09 2021-09-22 Seam free isolation structures and method for making the same
US17/481,668 2021-09-22

Publications (1)

Publication Number Publication Date
TW202240711A true TW202240711A (zh) 2022-10-16

Family

ID=82805629

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111108993A TW202240711A (zh) 2021-04-09 2022-03-11 半導體裝置

Country Status (3)

Country Link
US (1) US20220328478A1 (zh)
CN (1) CN114927472A (zh)
TW (1) TW202240711A (zh)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11869889B2 (en) * 2019-09-23 2024-01-09 Intel Corporation Self-aligned gate endcap (SAGE) architectures without fin end gap
KR20220077737A (ko) * 2020-12-02 2022-06-09 삼성전자주식회사 집적회로 소자
US20230037719A1 (en) * 2021-08-08 2023-02-09 Applied Materials, Inc. Methods of forming bottom dielectric isolation layers
US20230067354A1 (en) * 2021-08-27 2023-03-02 Intel Corporation Gate tie structures to buried or backside power rails

Also Published As

Publication number Publication date
CN114927472A (zh) 2022-08-19
US20220328478A1 (en) 2022-10-13

Similar Documents

Publication Publication Date Title
US11387347B2 (en) Fin structures having varied fin heights for semiconductor device
US20220359704A1 (en) Gate structure for semiconductor device
US10985072B2 (en) Etch profile control of polysilicon structures of semiconductor devices
US10050149B1 (en) Gate structure for semiconductor device
US9865709B2 (en) Selectively deposited spacer film for metal gate sidewall protection
US11264485B2 (en) Spacer structure for semiconductor device
TWI792456B (zh) 半導體裝置及其形成方法
US20240088261A1 (en) Field effect transistors with dual silicide contact structures
US10741672B2 (en) Gate structure for semiconductor device
TWI777128B (zh) 半導體元件及其形成方法
US20210242331A1 (en) Isolation structures of semiconductor devices
TW202240711A (zh) 半導體裝置
US20220344495A1 (en) Fin structures having varied fin heights for semiconductor device
US20230387001A1 (en) Conductive rail structure for semiconductor devices
US20220336629A1 (en) Gate spacer structures and methods for forming the same