TW202036907A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202036907A
TW202036907A TW108138193A TW108138193A TW202036907A TW 202036907 A TW202036907 A TW 202036907A TW 108138193 A TW108138193 A TW 108138193A TW 108138193 A TW108138193 A TW 108138193A TW 202036907 A TW202036907 A TW 202036907A
Authority
TW
Taiwan
Prior art keywords
layer
fin
epitaxial
semiconductor
dielectric
Prior art date
Application number
TW108138193A
Other languages
English (en)
Inventor
王培勳
周智超
陳仕承
張榮宏
黃瑞乾
林群雄
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202036907A publication Critical patent/TW202036907A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本發明實施例提供半導體裝置,其包括:半導體鰭狀物,位於基板上;隔離結構,至少部份地圍繞半導體鰭狀物;磊晶的源極/汲極結構,位於半導體鰭狀物上,其中磊晶的源極/汲極結構的延伸部份延伸於隔離結構上;以及矽化物層,位於磊晶的源極/汲極結構上,且矽化物層連續圍繞隔離結構上的磊晶的源極/汲極結構的延伸部份。

Description

半導體裝置
本發明實施例一般關於半導體裝置與其製作方法,更特別關於場效電晶體如鰭狀場效電晶體、全繞式閘極場效電晶體、及/或其他場效電晶體的製作方法。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術演進,使每一代的積體電路比前一代的積體電路具有更小且更複雜的電路。在積體電路演進中,功能密度(比如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(比如採用的製作製程所能產生的最小構件或線路)縮小而增加。製程尺寸縮小通常有利於增加產能並降低相關成本。尺寸縮小亦增加形成與處理積體電路的複雜度,因此形成與處理積體電路的方法亦需類似發展以實現這些進展。舉例來說,在裝置尺寸持續減少時,減少源極/汲極結構與其金屬接點之間的接觸電阻的挑戰更大。雖然解決此挑戰的方法通常適用,但這些方法仍無法完全符合所有方面的需求。
本發明一實施例提供之半導體裝置,包括:半導體鰭狀物,位於基板上;隔離結構,至少部份地圍繞半導體鰭狀物;磊晶的源極/汲極結構,位於半導體鰭狀物上,其中磊晶的源極/汲極結構的延伸部份延伸於隔離結構上;以及矽化物層,位於磊晶的源極/汲極結構上,且矽化物層連續圍繞隔離結構上的磊晶的源極/汲極結構的延伸部份。
本發明一實施例提供之半導體裝置的製作方法,包括:形成自基板凸起的半導體鰭狀物,與半導體鰭狀物上的第一閘極堆疊;形成暫時的間隔物於第一閘極堆疊的側壁上;形成凹陷於半導體鰭狀物中;自凹陷成長磊晶的源極/汲極結構;移除暫時的間隔物層,以形成與磊晶的源極/汲極結構相鄰的開口;經由開口形成虛置磊晶蓋層以包覆隔離結構上的磊晶的源極/汲極結構的延伸部份;形成層間介電層於虛置磊晶蓋層上;圖案化層間介電層以形成接點孔露出虛置磊晶蓋層;經由接點孔選擇性地移除虛置磊晶蓋層,以露出磊晶的源極/汲極結構;以及形成矽化物層以包覆磊晶的源極/汲極結構的延伸部份。
本發明一實施例提供之半導體裝置的製作方法,包括:形成半導體鰭狀物於基板上;形成虛置閘極堆疊以與半導體鰭狀物交錯;形成暫時的間隔物於虛置閘極堆疊的側壁上;移除半導體鰭狀物的一部份以形成與虛置閘極堆疊相鄰的凹陷;自凹陷成長磊晶的源極/汲極結構;移除暫時的間隔物層,以形成與磊晶的源極/汲極結構相鄰的開口;經由開口形成虛置磊晶蓋層,以包覆隔離結構上的磊晶的源極/汲極結構的延伸部份;形成層間介電層於虛置磊晶蓋層上;進行閘極置換製程,將虛置閘極堆疊置換為金屬閘極結構以圍繞堆疊於基板上的多個通道;圖案化層間介電層以形成接點孔露出虛置磊晶蓋層;經由接點孔選擇性地移除虛置磊晶蓋層,以露出磊晶的源極/汲極結構;以及形成矽化物層於磊晶的源極/汲極結構的延伸部份上。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間(即結構未接觸另一結構)。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5nm」包含的尺寸範圍介於4.5nm至5.5nm之間。
本發明實施例一般關於半導體裝置與其製作方法,更特別關於場效電晶體如鰭狀場效電晶體、全繞式閘極場效電晶體、及/或其他場效電晶體的製作方法。
在半導體製作中,在形成接點溝槽於磊晶的源極/汲極結構上之後,形成矽化物接點層(如矽化物層)於磊晶的源極/汲極結構之上表面上。如此一來,矽化物層的表面積可能只侷限在磊晶的源極/汲極結構的頂部,其限制矽化物層與源極/汲汲接點之間的接觸面積。因此至少為了這些理由,需要改善矽化物層的形成方法。
本發明實施例提供的矽化物層夾設於磊晶的源極/汲極結構與源極/汲極接點之間,並設計為降低磊晶的源極/汲極結構與源極/汲極接點之間的接觸電阻。在一些實施例中,形成虛置磊晶蓋層於磊晶的源極/汲極結構上,以包覆延伸於隔離結構上的磊晶的源極/汲極結構的至少一部份。在閘極置換製程之後,移除虛置磊晶蓋層並置換為矽化物層。如此一來,矽化物層亦包覆延伸於隔離結構上的磊晶的源極/汲極結構的至少一部份,進而增加矽化物層與源極/汲極接點之間的接觸面積。此外,由於在閘極置換製程之後形成矽化物層,因此不會對矽化物層進行與閘極置換製程相關的化學與熱製程,使矽化物層維持更一致的特性。
圖1係本發明一些實施例中,形成半導體的裝置200之方法100的流程圖。方法100僅為舉例而非侷限本發明實施例至申請專利範圍未實際記載處。在方法100之前、之中、與之後可進行額外步驟,且方法的額外實施例可置換、省略、或調換一些所述步驟。方法100搭配其他圖式說明如下,其顯示裝置200於方法100的中間步驟時的多種三維圖與剖視圖。具體而言,圖2A顯示裝置200的三維圖,圖2B顯示裝置200的平面上視圖,圖3A、4A、5A、6A、7A、8A、9A、10A、11A、與12A顯示裝置200沿著剖線AA’ (鰭狀物之外的X切線)的剖視圖,圖3B、4B、5B、6B、7B、8B、9B、10B、11B、與12B顯示裝置200沿著剖線BB’ (切過鰭狀物的X切線)的剖視圖,而圖3C、4C、5C、6C、7C、8C、9C、10C、11C、與12C顯示裝置200沿著剖線CC’ (Y切線)的剖視圖。
裝置200可為進行積體電路或其部份的製程時所製作的中間裝置,而積體電路可包含靜態隨機存取記憶體及/或其他邏輯電路,被動構件如電阻、電容、或電感,或主動構件如p型場效電晶體、n型場效電晶體、鰭狀場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極電晶體、高電壓電晶體、高頻電晶體、及/或其他記憶體單元。本發明實施例不限於任何特定數目的裝置或裝置區,或限於任何特定裝置設置。舉例來說,雖然圖式中的裝置200為三維場效電晶體如鰭狀場效電晶體或全繞式閘極場效電晶體,本發明實施例亦可用於製作平面場效電晶體。
如圖1與圖2A及2B所示,方法100的步驟102提供裝置200,其包括自基板202凸起的一或多個半導體鰭狀物204,半導體鰭狀物204隔有隔離結構208,且虛置閘極堆疊210位於基板202上。裝置200可包含其他構件,比如位於虛置閘極堆疊210之側壁上的閘極間隔物(未圖示)、位於虛置閘極堆疊210上的多種硬遮罩層(詳述如下)、阻障層、其他合適的層狀物、或上述之組合。
基板202可包含半導體元素(單一元素)如矽、鍺、及/或其他合適材料;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、及/或其他合適材料;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、磷砷化鎵銦、及/或其他合適材料。基板202可為具有一致組成的單層材料。在其他實施例中,基板202可包含適用於形成積體電路裝置的類似或不同組成的多個材料層。在一例中,基板202可為絕緣層上矽基板,其具有矽層形成於氧化矽層上。在另一例中,基板202可包括導電層、半導體層、介電層、其他層、或上述之組合。
在基板202包括場效電晶體的一些實施例中,多種摻雜區如源極/汲極區位於基板202之中或之上。摻雜區可摻雜p型摻質如磷或砷及/或n型摻質如硼或二氟化硼,端式設計需求而定。摻雜區可直接形成於基板202上、形成於p型井結構中、形成於n型井結構中、形成於雙井結構中、或採用隆起結構。摻雜區的形成方法可採用佈植摻質原子、原位摻雜的磊晶成長、及/或其他合適技術。
每一半導體鰭狀物204可適用於提供n型場效電晶體或p型場效電晶體。在一些實施例中,此處所示的半導體鰭狀物204可適用於提供類似型態(如均為n型或均為p型)的鰭狀場效電晶體。在其他實施例中,其可適用於提供相反型態(如n型與p型)的鰭狀場效電晶體。此設置僅用於說明目的而非侷限本發明實施例。半導體鰭狀物204的製作方法可採用合適製程,包括光微影與蝕刻製程。光微影製程可包含形成光阻於基板202上、曝光光阻至一圖案、進行曝光後烘烤製程、以及顯影光阻以形成含光阻的遮罩單元(未圖示)。接著採用遮罩單元並蝕刻凹陷至基板202中,以保留半導體鰭狀物204於基板202上。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。
多種其他實施例中形成半導體鰭狀物204的方法亦適用。舉例來說,半導體鰭狀物204的圖案化方法採用雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程以沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,並可採用保留的間隔物或芯圖案化鰭狀物。在一些實施例中,在形成半導體鰭狀物204之後,其高度H_fin (見圖3)介於約40nm至約70nm之間。此高度有效影響裝置效能與操作電流(開啟電流)。較高的鰭狀物及/或奈米片有助於提供更大的操作電流,但會損失交流電(如速度劣化)。此外,較高鰭狀物及/或奈米片亦受限於圖案化製程。對全繞式閘極結構(奈米片)而言,高度受限於形成金屬閘極時的片至片空間(與半導體材料204B的厚度相關)。
在圖3B與3C所示的實施例中,半導體鰭狀物204可包含交替的半導體材料層,比如不同的半導體材料204A與半導體材料204B。在一些實施例中,半導體鰭狀物204可包含總共3至10層交替的半導體材料層,不過本發明實施例不侷限於此設置。在本發明實施例中,半導體材料204A包括矽,而半導體材料204B包括矽鍺。半導體材料204A與204B的一或兩者可摻雜合適摻質如p型摻質或n型摻質,用以形成所需的場效電晶體。半導體材料204A與204B的形成方法各自可為磊晶製程如分子束磊晶製程、化學氣相沉積製程如有機金屬化學氣相沉積、及/或其他合適的磊晶成長製程。
在許多實施例中,半導體材料204A與204B的交替層設置為提供多閘極裝置如全繞式場效電晶體,其形成方法將詳述如下。導入多閘極裝置可增加閘極-通到耦合、降低關閉狀態電流、並減少短通道效應,以改善閘極控制。多閘極裝置如全繞式閘極場效電晶體通常包含閘極結構,其延伸於水平通道區周圍,以自所有側控制通道區。全繞式閘極場效電晶體通常與互補式金氧半製程相容,因此在大幅減少尺寸時仍可維持閘極控制並緩解短通道效應。本發明實施例當然不限於只形成全繞式閘極場效電晶體,且可提供其他三維場效電晶體如鰭狀場效電晶體。如此一來,半導體鰭狀物204可包含半導體材料的單層或不同半導體材料(未設置成交替堆疊)的多層,可提供一致的鰭狀物以形成鰭狀場效電晶體。
隔離結構208可包含氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電材料、及/或其他合適材料。隔離結構208可包含淺溝槽隔離結構。在一實施例中,隔離結構208的形成方法為在形成半導體鰭狀物204時,蝕刻溝槽於基板202中。接著以沉積製程將上述隔離材料填入溝槽,接著進行化學機械平坦化製程。亦可採用其他隔離結構如場氧化物、局部氧化矽、及/或其他合適結構作為隔離結構208。在其他實施例中,隔離結構208可包含多層結構,比如具有一或多個熱氧化物襯墊層。隔離結構208的沉積方法可為任何合適方法,比如化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈玻璃、其他合適方法、或上述之組合。隔離結構208的形成方法可為沉積介電層如間隔物層於半導體鰭狀物204上,接著使介電層凹陷讓隔離結構208的上表面低於半導體鰭狀物204的上表面。
在圖3C所示的一些實施例中,形成鰭狀物間隔物214於半導體鰭狀物204的側壁上。鰭狀物間隔物214可包含任何合適的介電材料,比如氮化矽、氧化矽、氮氧化矽、其他合適的介電材料、或上述之組合。在一些實施例中,鰭狀物間隔物214包含的介電材料,與隔離結構208與介電鰭狀物206包含的介電材料不同。可先順應性地沉積鰭狀物間隔物214於半導體鰭狀物204上。接著沉積形成隔離結構208所用的介電層於鰭狀物間隔物214上,以填入鰭狀物間隔物214中的空間。之後使形成隔離結構208所用的介電層凹陷如上述,以形成半導體鰭狀物204,而鰭狀物間隔物214保留在半導體鰭狀物204的側壁上。
此處所述的裝置200可視情況包含介電鰭狀物206 (有時稱做虛置鰭狀物或混合鰭狀物)於基板202上。如圖3C所示的例子,每一介電鰭狀物206可位於半導體鰭狀物204之間,其方向可平行於半導體鰭狀物204。然而與設置為提供主動裝置的半導體鰭狀物204不同,介電鰭狀物206為非主動且不設置以形成場效電晶體。在一些實施例中,提供介電鰭狀物206以調整鰭狀物至鰭狀物的空間(如鰭狀物間距),使後續形成的介電層(如介電層220與暫時的間隔物層222)的厚度可依設計需求控制。介電鰭狀物206亦有助於降低鰭狀物圖案化的負載效應,並避免源極/汲極的磊晶橋接。介電鰭狀物206的形成方法可為任何合適方法。在上述的一例中,可先沉積隔離結構208如間隔物層於半導體鰭狀物204的側壁上。在此隔離結構208凹陷至低於半導體鰭狀物204之前,沉積形成介電鰭狀物206所用的介電層於隔離結構208的側壁上。之後使隔離結構208凹陷,且凹陷方法可為化學蝕刻製程。因此隔離結構208的上表面低於半導體鰭狀物204的上表面與形成介電鰭狀物206所用的介電層上表面。
在一些實施例中,每一虛置閘極堆疊210作為之後形成高介電常數的介電層與金屬閘極所用的占位器。上述的高介電常數指的是大於氧化矽的介電常數(約3.9)的介電常數。虛置閘極堆疊210可包含虛置閘極211與多種其他材料層。在一些實施例中,虛置閘極211包括多晶矽。在圖3A所示的實施例中,虛置閘極堆疊可包含界面層224位於半導體鰭狀物204與虛置閘極211之間、硬遮罩層216位於虛置閘極211上、及/或硬遮罩層218位於硬遮罩層216上。在製作裝置200的其他構件(如磊晶的源極/汲極結構250)之後的閘極置換製程時,虛置閘極堆疊的部份可置換成高介電常數的介電層與金屬閘極。硬遮罩層216與218可各自包含任何合適的介電材料如半導體氧化物及/或半導體氮化物。在一例中,硬遮罩層216包括碳氮化矽,且硬遮罩層218包含氧化矽。界面層224可包含任何合適材料如氧化矽。虛置閘極堆疊210的多種材料層的形成方法可為任何合適製程,比如化學氣相沉積、物理氣相沉積、原子層沉積、化學氧化、其他合適製程、或上述之組合。
如圖1與圖3A至3C所示,方法100的步驟104形成介電層220於裝置200上。在許多實施例中,介電層220順應性地形成於裝置200 (包括半導體鰭狀物204、介電鰭狀物206、與虛置閘極堆疊210)上。介電層220可包含任何合適介電材料如含氮介電材料,且其形成方法可為任何合適方法如原子層沉積、化學氣相沉積、物理氣相沉積、其他合適方法、或上述之組合。在所述實施例中,介電層220的形成方法微熱原子層沉積製程。在一些例子中,介電層220可包含氮化矽、碳氮化矽、碳氮氧化矽、其他合適介電材料、或上述之組合。
如圖1與圖3A至3C所示,方法100的步驟106形成暫時的間隔物層222於介電層220上。與介電層220類似,暫時的間隔物層222可順應性地形成於虛置閘極堆疊210上。值得注意的是一些例子中,介電鰭狀物206的存在會減少鰭狀物至鰭狀物的空間,如圖3C所示。在這些例子中,仍可順應性地形成暫時的間隔物層222於虛置閘極堆疊210上。若鰭狀物至鰭狀物的空間超小,暫時的間隔物層222可填入介電層220上的鰭狀物至鰭狀物間隙。暫時的間隔物層222可包含任何合適的介電材料,比如含氧介電材料或高介電常數的介電材料,且其形成方法可為任何合適方法如原子層沉積、化學氣相沉積、物理氣相沉積、其他合適方法、或上述之組合。在一些例子中,暫時的間隔物層222包含氧化矽、探氧化矽、高介電常數的介電材料(如氧化鉿、氧化鋯、氧化鑭、氧化釔、或類似物)、其他合適的介電材料、或上述之組合。值得注意的是,雖然介電層220與暫時的間隔物層222的厚度不限於任何特定數值,其厚度可取決於半導體鰭狀物204與介電鰭狀物206之間的鰭狀物至鰭狀物的空間。在一例中,介電層220與暫時的間隔物層222的厚度各自小於約10nm。此外,一些實施例的介電層220與暫時的間隔物層222包含不同組成,使兩種材料層對常用蝕刻劑具有蝕刻選擇性。
如圖1與圖4A至4C所示,方法100的步驟108形成襯墊層228於裝置200上。在一些實施中,襯墊層228順應性地形成於裝置200上,比如在暫時的間隔物層222之上表面與側壁上具有大致相同的厚度。如圖4C所示的一些實施例,襯墊層228填入暫時的間隔物層222上的空間。襯墊層228可由任何合適方法(如原子層沉積)沉積至任何合適厚度。襯墊層228可包括任何合適材料,比如氮化矽、碳氮化矽、碳氧化矽、其他合適的介電材料、或上述之組合。
如圖1與圖4A至4C所示,方法100的步驟110移除半導體鰭狀物204的一部份以形成凹陷230於其中。在許多實施例中,方法100形成凹陷230的方法為合適的蝕刻製程,比如乾蝕刻製程、濕蝕刻製程、或反應性離子蝕刻製程。在一些實施例中,方法100選擇性地移除半導體鰭狀物204,而不蝕刻或實質上不蝕刻虛置閘極堆疊210之側壁上的介電層220與暫時的間隔物層222。此處所述的步驟110可移除介電層220與暫時的間隔物層222的上側部份、形成於虛置閘極211上的硬遮罩層218、以及介電鰭狀物206的上側部份,以形成凹陷230。步驟110的蝕刻製程可採用乾蝕刻製程,其採用的蝕刻劑包括含溴氣體(如溴化氫及/或溴仿)、含氟氣體(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、其他合適氣體、或上述之組合。藉由調整蝕刻製程時間,可控制半導體鰭狀物204的移除量。在一些實施例中,步驟110的蝕刻製程移除介電鰭狀物206的上側部份,使保留的介電鰭狀物206之高度H_df小於或等於約30nm。
如圖1與圖5A至5C所示,方法100進行多種步驟。首先,方法100的步驟112以合適的蝕刻製程選擇性地移除半導體材料204B的部份,以形成間隙於半導體材料204A的層狀物之間,使半導體材料204A的部份懸吊於空間中。如上所述,半導體材料204A包括矽,而半導體材料204B包括矽鍺。綜上所述,步驟112的蝕刻製程選擇性地移除矽鍺部份,而不移除或實質上不移除矽。在一些實施例中,蝕刻製程為等向蝕刻製程(如乾蝕刻製程或濕蝕刻製程),且由蝕刻製程的時間控制半導體材料204B的移除量。在一實施例中,方法100選擇性地移除半導體材料204B的部份之方法為濕蝕刻製程,其採用氫氟酸及/或氫氧化銨作為蝕刻劑,一開始氧化半導體材料204B的部份以形成氧化矽鍺,之後再移除氧化矽鍺。
如圖1與圖5A至5C所示,方法100的步驟114形成內側間隔物240以與半導體材料204B相鄰。內側間隔物240的形成方法關於多種製程。在一實施例中,間隔物層沉積於裝置200上。間隔物層可填入半導體材料204A的層狀物之間的空間。在一些實施例中,間隔物層可由任何合適方法(如原子層沉積)沉積製任何合適厚度。間隔物層包含任何合適的介電材料,比如氮化矽、氧化矽、碳氮化矽、碳氧化矽、其他合適的介電材料、或上述之組合。之後採用蝕刻製程移除間隔物層的部份,因此只有間隔物層的部份(如內側間隔物240)保留於半導體材料204B的側壁上。形成於半導體材料204B之側壁上的內側間隔物240設置為有利於形成多閘極裝置的後續製作步驟。在一些例子中,內側間隔物240設置以減少最終多閘極裝置的寄生電容。在一些實施例中,形成內側間隔物240的蝕刻製程為等向蝕刻製程,且蝕刻製程時間可控制間隔物層的移除量。
如圖1與圖5A至5C所示,方法100的步驟116自凹陷230開始成長磊晶的源極/汲極結構250。如圖5A所示,其包含磊晶的源極/汲極結構250的放大圖,而磊晶的源極/汲極結構250可包含多個磊晶的半導體層如半導體層252、253、與254。在一些實施例中,半導體層252、253、與254中包含的摻質量不同。在一些例子中,由於摻雜製程的特性,半導體層252中包含的摻質量小於半導體層254中包含的摻質量。在一些例子中,半導體層252中包含的摻質量亦低於半導體層254中包含的摻質量,以最小化可能的漏電流。在一些例子中,半導體層253中包含的摻質量大致等於或高於半導體層252中包含的摻質量。如圖5C所示,磊晶的源極/汲極結構250一開始成長於凹陷230中,接著延伸高於介電鰭狀物206。換言之,磊晶的源極/汲極結構250的成長未橫向受限於凹陷230的寬度,因此可更彈性地設計磊晶的源極/汲極結構250的尺寸。
磊晶的源極/汲極結構250 (如半導體層252、253、與254)的形成方法可為合適方法,比如分子束磊晶、有機金屬化學氣相沉積、其他合適磊晶成長製程、或上述之組合。磊晶的源極/汲極適用於n型鰭狀場效電晶體裝置(比如p型磊晶材料),或改為適用於p型鰭狀場效電晶體裝置(比如n型磊晶材料)。p型磊晶材料可包含一或多層的矽鍺磊晶層,其可摻雜p型摻質如硼、鍺、銦、及/或其他p型摻質。n型磊晶材料可包含一或多層的矽磊晶層或碳化矽磊晶層,其可摻雜n型摻質如砷、磷、及/或其他n型摻質。
如圖1與圖6A至6C所示,方法100的步驟118進行一或多個選擇性蝕刻製程,以移除暫時的間隔物層222與襯墊層228。蝕刻用於形成開口260以與磊晶的源極/汲極結構250相鄰。在許多實施例中,蝕刻製程移除暫時的間隔物層222,並移除位於磊晶的源極/汲極結構250與介電層220之間的襯墊層228。蝕刻製程可採用任何合適的蝕刻劑,其設置為移除暫時的間隔物層222與襯墊層228,而不移除或實質上不移除磊晶的源極/汲極結構250與介電層220。在一些例子中,蝕刻製程可為等向蝕刻製程(如等向乾蝕刻或等向濕蝕刻製程),其採用的蝕刻劑包括氫氟酸、氨、三氟化氮、其他合適蝕刻劑、或上述之組合。每一開口260設置為具有明確定義的寬度,其取決於暫時的間隔物層222與襯墊層228的總厚度。綜上所述,在步驟118的選擇性移除時,開口260可因此具有一致或實質上一致的寬度。在下述的許多實施例中,開口260設置以容納完全包覆磊晶的源極/汲極結構250的矽化物層。
如圖1與圖7A至7C所示,方法100的步驟120 (選擇性地)形成虛置磊晶蓋層262於開口260中的磊晶的源極/汲極結構250上,使虛置磊晶蓋層262包覆磊晶的源極/汲極結構250。虛置磊晶蓋層262包含矽、鍺、其他合適材料、或上述之組合。虛置磊晶蓋層262的形成方法可為任何合適方法,比如化學氣相沉積、原子層沉積、物理氣相沉積、其他合適製程、或上述之組合。如圖7A所示,步驟120可將虛置磊晶蓋層262部份填入開口260。在一些例子中,虛置磊晶蓋層262的厚度可介於約2nm至約3nm之間,其可為磊晶的源極/汲極結構250與相鄰的介電層220之間的間隙距離G (見圖7A)的約20%至約50%。如此一來,在步驟120之後保留氣隙於虛置磊晶蓋層262與其相鄰的介電層220之間。
值得注意的是,由於在使暫時的間隔物層222與襯墊層228凹陷之後,且在形成源極/汲極接點之前進行步驟120,開口260可提供形成虛置磊晶蓋層262於磊晶的源極/汲極結構250之露出表面上所用的空間,使虛置磊晶蓋層262完全包覆磊晶的源極/汲極結構250。如圖7A所示,虛置磊晶蓋層262形成於磊晶的源極/汲極結構250的上表面、側壁表面、與下表面上。如下所述,虛置磊晶蓋層262可置換為矽化物層280,其可包覆磊晶的源極/汲極結構250。有利的是,此處提供的實施例增加矽化物層280與磊晶的源極/汲極結構250之間的接觸面積,以降低磊晶的源極/汲極結構250與之後形成的源極/汲極接點之間的接觸電阻。
如圖1與圖8A至8C所示,方法100的步驟122形成間隔物層264於裝置200上。間隔物層264可包含任何合適的介電材料如低介電常數的介電材料,且其形成方法可為任何合適方法如原子層沉積、化學氣相沉積、物理氣相沉積、其他合適方法、或上述之組合。如圖8A所示,間隔物層264填入磊晶的源極/汲極結構250與其相鄰的介電層220之間的氣隙。如圖8C所示,間隔物層264亦填入開口260並覆蓋磊晶的源極/汲極結構250與其相鄰的介電鰭狀物206。在一些實施例中,間隔物層264在虛置閘極堆疊210上具有順應性的輪廓,比如在虛置閘極堆疊210的上表面與側壁表面上具有大致相同的厚度。在一些例子中,間隔物層264的厚度介於約3nm至約7nm之間,其可為磊晶的源極/汲極結構250與其相鄰的介電層220之間的間隙距離G (見圖7A)的約50%至約80%之間。在一些例子中,間隔物層264可為或包含接點蝕刻停止層,且此例之間隔物層264可包含氮化矽、氮氧化矽、具有氧或碳元素的氮化矽、其他合適材料、或上述之組合,且其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、其他合適方法、或上述之組合。
如圖1與圖9A至9C所示的一些實施例,方法100的步驟123形成層間介電層266於間隔物層264上。層間介電層266包含介電材料如四乙氧矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、其他合適的介電材料、或上述之組合。層間介電層266可包含多種介電材料的多層結構,且其形成方法可為沉積製程如化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈玻璃、其他合適方法、或上述之組合。在一些實施例中,層間介電層266的形成方法更包括進行化學機械平坦化製程以平坦化裝置200的上表面,並露出虛置閘極堆疊210的上表面。
如圖1與圖9A至9C所示,方法100的步驟124進行閘極置換製程,以將虛置閘極堆疊210置換為個別的金屬閘極結構270。在一些實施例中,每一金屬閘極結構270為高介電常數的介電層與金屬閘極結構,而高介電常數的介電層指的是金屬閘極結構270包含的閘極介電層之介電常數大於氧化矽的介電常數(約3.9)。步驟124的閘極置換製程可採用下述的一系列製作步驟。
對需要多閘極裝置如全繞式閘極場效電晶體的實施例而言,以圖9B為例,在形成間隔物層264及/或層間介電層266之前,蝕刻製程可自半導體鰭狀物204選擇性移除半導體材料204B的層狀物(含矽鍺),使空洞或間隙(未圖示)形成於半導體材料204A的層狀物(含矽)的堆疊之間。在一些實施例中,蝕刻製程可為乾蝕刻製程或濕蝕刻製程。方法100的步驟124之後由任何合適的方法移除虛置閘極堆疊210,以形成閘極溝槽(未圖示)於半導體鰭狀物204上。形成閘極溝槽的方法可包括一或多道蝕刻製程,其對虛置閘極堆疊210中包含的材料(比如虛置閘極211中包含的多晶矽)具有選擇性。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻、其他合適的蝕刻方法、或上述之組合。
方法100接著形成金屬閘極結構270於閘極溝槽中。對半導體鰭狀物204包括半導體材料204A與204B的交替堆疊之實施例而言,在自裝置200移除半導體材料204B時,亦可沉積金屬閘極結構270的多種材料層於半導體材料204A的層狀物之間的間隙中。雖然未圖示,但金屬閘極結構270可包含多種材料層如形成於界面層224上的高介電常數的閘極介電層、形成於高介電常數的閘極介電層上的功函數金屬層、形成於功函數金屬層上的基體導電層、其他合適的層狀物、或上述之組合。高介電常數的介電層可包含一或多種高介電常數的介電材料(或一或多層的高介電常數的介電材料),比如氧化鉿矽、氧化鉿、氧化鋁、氧化鋯、氧化鑭、氧化鈦、氧化釔、鈦酸鍶、或上述之組合。功函數金屬層可包含任何合適材料,比如氮化鈦、氮化鉭、釕、鉬、鎢、鉑、鈦、鋁、碳化鉭、碳氮化鉭、氮化鉭矽、氮化鈦矽、其他合適材料、或上述之組合。在一些實施例中,功函數金屬層包括相同型態或不同型態的多個材料層(比如均為n型功函數金屬或均為p型功函數金屬),已達所需的臨界電壓。基體導電層可包含鋁、銅、鎢、鈷、釕、其他合適導電材料、或上述之組合。金屬閘極結構270可包含其他材料層如阻障層、黏著層、硬遮罩層272 (如圖9所示)、及/或蓋層。金屬閘極結構270的多種層狀物的形成方法可為任何合適方法,比如化學氣相沉積、原子層沉積、物理氣相沉積、電鍍、化學氧化、熱氧化、其他合適方法、或上述之組合。之後方法100可進行一或多道研磨製程如化學機械平坦化製程,以移除任何多餘導電材料並平坦化裝置200的上表面。
如圖1與圖10A至10C所示,方法100亦包含步驟125進行圖案化製程,以形成接點孔265於層間介電層266中。接點孔265對準源極/汲極結構250。形成接點孔265的方法包括以微影製程形成圖案化的光阻層,其具有開口以定義用於接點孔265的區域。經由圖化的光阻層之開口蝕刻層間介電層266,並以濕式剝除或電漿灰化移除圖案化的光阻層。可額外採用硬遮罩以圖案化接點孔265。
如圖1與圖10A至10C所示,方法100的步驟126進行一或多道選擇性蝕刻製程,以移除之前形成的虛置磊晶蓋層262以形成裝置200。如圖10A所示,蝕刻產生氣隙268於磊晶的源極/汲極結構250與間隔物層264的個別部份之間。蝕刻製程可採用任何合適的蝕刻劑,其設置為移除虛置磊晶蓋層262而不移除或實質上不移除磊晶的源極/汲極結構250與間隔物層264。與虛置磊晶蓋層262相較,磊晶的源極/汲極結構250的末端具有較低鍺含量(>20%),其可在移除虛置磊晶蓋層262時作為蝕刻停止層。在一些例子中,蝕刻製程可為等向蝕刻製程(如等向乾蝕刻製程或等向濕蝕刻製程),其採用的蝕刻劑包括氫氟酸、氨、三氟化氮、其他合適蝕刻劑、或上述之組合。如圖10B所示,在裝置200的此部份(如直接位於磊晶的源極/汲極結構250上的部份)中,在露出虛置磊晶蓋層262之前,可進行額外蝕刻步驟移除層間介電層266。值得注意的是,氣隙268設置為具有明確定義的寬度,其取決於虛置磊晶蓋層262的厚度(並間接地取決於暫時的間隔物層222與襯墊層228的總厚度)。綜上所述,在步驟126的選擇性移除時,氣隙268可具有一致或實質上一致的寬度。如下所述,氣隙268設置以容納完全包覆磊晶的源極/汲極結構250的矽化物層。如圖1與圖11A至11C所示,方法100的步驟128填入每一氣隙268以形成矽化物層280於每一磊晶的源極/汲極結構250上,使矽化物層280包覆磊晶的源極/汲極結構250。在許多實施例中,矽化物層280包含鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物、其他合適矽化物、或上述之組合。矽化物層的形成方法可為合適方法。在一例中,可由沉積製程如化學氣相沉積、原子層沉積、物理氣相沉積、其他合適製程、或上述之組合沉積金屬層如鎳於裝置200上。接著可退火裝置200使金屬層與磊晶的源極/汲極結構250反應形成矽化物層280。之後移除未反應的金屬層,保留矽化物層280於磊晶的源極/汲極結構250上。在另一例中,可由此處提供的合適沉積方法選擇性地沉積金屬層於磊晶的源極/汲極結構250的半導體材料上。之後退火裝置200以形成矽化物層280於磊晶的源極/汲極結構250上。在一些實施例中,矽化物層280完全填入氣隙268。在一些例子中,矽化物層280的厚度為約2nm至約3nm (與虛置磊晶蓋層262的厚度相同),其可為磊晶的源極/汲極結構250與相鄰的介電層220之間的間隙距離之約20%至約50%。如圖11A所示,矽化物層280的最大或容許厚度取決於暫時的間隔物層222與襯墊層228的總厚度,且矽化物層280位於介電層220與磊晶的源極/汲極結構250之間。
值得注意的是,雖然矽化物層180置換完全包覆磊晶的源極/汲極結構250之虛置磊晶蓋層262,矽化物層280亦完全包覆個別的磊晶的源極/汲極結構250。如圖11C所示,矽化物層280不只位於磊晶的源極/汲極結構250的上表面250T上,亦位於磊晶的源極/汲極結構250的至少一側壁250S上(以及磊晶的源極/汲極結構250之下表面250B上,其中磊晶的源極/汲極結構250懸吊於相鄰的隔離結構208上)。以圖11A與11C為例,磊晶的源極/汲極結構250的一部份水平延伸於隔離結構208上(可能延伸於相鄰的介電鰭狀物206上),而矽化物層280至少覆蓋水平延伸於隔離結構208上的磊晶的源極/汲極結構250的一部份之側壁表面。有利的是,此處提供的實施例可增加矽化物層280與磊晶的源極/汲極結構250之間的接觸面積,進而降低磊晶的源極/汲極結構250與將形成於矽化物層280上的源極/汲極接點290之間的接點電阻。此外,本發明實施例在閘極置換製程之後(而非之前)形成矽化物層180,因此矽化物的形成製程有時稱作矽化物後製製程。矽化物後製製程的優點之一為矽化物層180不需經歷閘極置換製程,因此不需經歷閘極置換製程的升溫及/或暴露至多種化學品(可能改變矽化物層的特性)。如此一來,矽化物層180採用的材料彈性更大(比如熱預算更多),且可具有更一致的電性及/或機械特性。
如圖11A所示,矽化物層280位於磊晶的源極/汲極結構250上,並連續地包覆隔離結構208上的磊晶的源極/汲極結構250之延伸部份。間隔物層264包括低介電常數的介電材料,其分隔矽化物層與閘極結構,並覆蓋延伸於隔離結構208上的矽化物層280的延伸部份之側壁表面。間隔物層更包含延伸於矽化物層280的部份上表面上的第一部份,以及延伸於矽化物層280的部份下表面上的第二部份。如圖11B所示,矽化物層280延伸於半導體鰭狀物204上的磊晶的源極/汲極結構250之一部份的上表面上,且間隔物層264亦延伸覆蓋矽化物層280的部份上表面。如圖11C所示,裝置200亦包含介電鰭狀物206於基板202上以與半導體鰭狀物204相鄰,且間隔物層264覆蓋介電鰭狀物206的上表面。間隔物層填入半導體鰭狀物204與介電鰭狀物206之間的凹陷,向上延伸至磊晶的源極/汲極結構250之側壁表面上的矽化物層280、並橫向延伸至介電鰭狀物206的上表面。
如圖1與圖12A至12C所示,方法100的步驟130形成源極/汲極接點290於矽化物層280上,以電性接觸對應的磊晶的源極/汲極結構250。每一源極/汲極接點290可包含一或多個導電層,且其形成方法可採用任何合適方法如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、及/或其他合適製程。在一些實施例中,每一源極/汲極接點290包含晶種金屬層與填充金屬層。在多種實施例中,晶種金屬層包含鈷、鎢、釕、鎳、其他合適金屬、或上述之組合。填充金屬層可包含銅、鎢、鋁、鈷、其他合適材料、或上述之組合。雖然圖12A至12C未圖示,但應理解在介電鰭狀物206不存在的實施例中,其位置可具有其他合適的層狀物如間隔物層264與層間介電層266。
如圖1所示,方法100的步驟132可進行額外製程步驟。舉例來說,可形成額外的垂直內連線結構如通孔、水平內連線結構如線路、及/或多層內連線結構如金屬層與層間介電層於裝置200上。多種內連線結構可採用多種導電材料,包括銅、鎢、鈷、鋁、鈦、鉭、鉑、鉬、銀、金、錳、鋯、釕、上述之合金、金屬矽化物、其他合適材料、或上述之組合。金屬矽化物可包含鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物、其他合適的金屬矽化物、或上述之組合。
本發明實施例可提供許多優點至半導體裝置與其形成方法,但不侷限於此。本發明實施例提供形成矽化物層於磊晶的源極/汲極結構上的方法。本發明實施例包含在閘極置換製程之後,形成矽化物層以包覆磊晶的源極/汲極結構。綜上所述,此處揭露的矽化物層可降低下方的磊晶的源極/汲極結構與上方的源極/汲極之間的接觸電阻。
在一例中,半導體裝置包括半導體鰭狀物,位於基板上;隔離結構,至少部份地圍繞半導體鰭狀物;磊晶的源極/汲極結構,位於半導體鰭狀物上,其中磊晶的源極/汲極結構的延伸部份延伸於隔離結構上;以及矽化物層,位於磊晶的源極/汲極結構上,且矽化物層連續圍繞隔離結構上的磊晶的源極/汲極結構的延伸部份。
在一實施例中,矽化物層覆蓋磊晶的源極/汲極結構的延伸部份之上表面、下表面、與側壁表面。
在一實施例中,半導體裝置更包括源極/汲極接點位於矽化物層上,且源極/汲極接點經由矽化物層電性耦接至磊晶的源極/汲極結構。
在一實施例中,半導體裝置更包括:閘極結構,位於半導體鰭狀物的通道區上並圍繞通道區中彼此堆疊的多個全繞式閘極通道;以及介電間隔物層,位於矽化物層與閘極結構之間。
在一實施例中,間隔物層包括分隔矽化物層與閘極結構的低介電常數的介電材料,其中間隔物層覆蓋延伸於隔離結構上的矽化物層之一部份的側壁表面,且其中間隔物層更包括延伸於矽化物層之部份上表面上的第一部份與延伸於矽化物層之部份下表面下的第二部份。
在一實施例中,矽化物層延伸於半導體鰭狀物上的磊晶的源極/汲極結構的部份上表面上,且其中間隔物層更延伸覆蓋矽化物層的部份上表面。
在一實施例中,全繞式閘極通道包括矽或矽鍺。
在一實施例中,半導體裝置更包括介電鰭狀物位於基板上以與半導體鰭狀物相鄰,其中間隔物層覆蓋介電鰭狀物的上表面。
在一實施例中,間隔物層填入半導體鰭狀物與介電鰭狀物之間的凹陷,且間隔物層向上延伸至磊晶的源極/汲極結構之側壁表面上的矽化物層,並橫向延伸至介電鰭狀物的上表面。
在另一例中,提供半導體裝置的製作方法,包括:形成自基板凸起的半導體鰭狀物,與半導體鰭狀物上的第一閘極堆疊;形成暫時的間隔物於第一閘極堆疊的側壁上;形成凹陷於半導體鰭狀物中;自凹陷成長磊晶的源極/汲極結構;移除暫時的間隔物層,以形成與磊晶的源極/汲極結構相鄰的開口;經由開口形成虛置磊晶蓋層以包覆隔離結構上的磊晶的源極/汲極結構的延伸部份;形成層間介電層於虛置磊晶蓋層上;圖案化層間介電層以形成接點孔露出虛置磊晶蓋層;經由接點孔選擇性地移除虛置磊晶蓋層,以露出磊晶的源極/汲極結構;以及形成矽化物層以包覆磊晶的源極/汲極結構的延伸部份。
在一實施例中,成長磊晶的源極/汲極結構使其延伸部份延伸於隔離結構上,其中矽化物層至少覆蓋磊晶的源極/汲極結構的延伸部份側壁表面與下表面。
在一實施例中,上述方法更包括在形成第一閘極堆疊之後與形成凹陷於半導體鰭狀物中之前,形成介電層於虛置閘極堆疊上,其中暫時的間隔物層形成於介電層上;以及形成襯墊層於暫時的間隔物層上。
在一實施例中,上述方法更包括在形成層間介電層之後,將第一閘極堆疊置換為具有金屬與高介電常數的介電材料之第二閘極堆疊。
在一實施例中,上述方法更包括形成間隔物層於虛置磊晶蓋層與介電層之間,且間隔物層的形成方法為填入開口的其餘部份。
在一實施例中,形成矽化物層的步驟更包括使間隔物層的第一部份延伸於矽化物層的上表面上,並使間隔物層的第二部份延伸於矽化物層的下表面下。
在一實施例中,形成矽化物層的步驟包括形成矽化物層以延伸於半導體鰭狀物上的磊晶的源極/汲極結構的一部份的上表面上,使暫時的間隔物層與襯墊層的總厚度控制矽化物層的最大厚度,且暫時的間隔物層與襯墊層位於介電層與磊晶的源極/汲極結構之間。
在一實施例中,上述方法更包括形成源極/汲極接點於矽化物層上,其中源極/汲極接點經由矽化物層電性耦接至磊晶的源極/汲極結構。
在一實施例中,上述方法更包括形成堆疊於基板上的多個全繞式閘極通道。
本發明又一實施例提供半導體裝置的製作方法,包括形成半導體鰭狀物於基板上;形成虛置閘極堆疊以與半導體鰭狀物交錯;形成暫時的間隔物於虛置閘極堆疊的側壁上;移除半導體鰭狀物的一部份以形成與虛置閘極堆疊相鄰的凹陷;自凹陷成長磊晶的源極/汲極結構;移除暫時的間隔物層,以形成與磊晶的源極/汲極結構相鄰的開口;經由開口形成虛置磊晶蓋層,以包覆隔離結構上的磊晶的源極/汲極結構的延伸部份;形成層間介電層於虛置磊晶蓋層上;進行閘極置換製程,將虛置閘極堆疊置換為金屬閘極結構以圍繞堆疊於基板上的多個通道;圖案化層間介電層以形成接點孔露出虛置磊晶蓋層;經由接點孔選擇性地移除虛置磊晶蓋層,以露出磊晶的源極/汲極結構;以及形成矽化物層於磊晶的源極/汲極結構的延伸部份上。
在一實施例中,矽化物層包覆隔離結構上的磊晶的源極/汲極結構的延伸部份。
本發明已以數個實施例揭露如上,以利本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者可採用本發明為基礎,設計或調整其他製程與結構,用以實施實施例的相同目的,及/或達到實施例的相同優點。本技術領域中具有通常知識者應理解上述等效置換並未偏離本發明之精神與範疇,並可在未偏離本發明之精神與範疇下進行這些不同的改變、置換、與調整。
AA’、BB’、CC’:剖線 G:間矽距離 H_df、H_fin:高度 100:方法 102、104、106、108、110、112、114、116、118、120、122、123、124、125、126、128、130、132:步驟 180、280:矽化物層 200:裝置 202:基板 204:半導體鰭狀物 204A、204B:半導體材料 206:介電鰭狀物 208:隔離結構 210:虛置閘極堆疊 211:虛置閘極 214:鰭狀物間隔物 216、218、272:硬遮罩層 220:介電層 222:暫時的間隔物層 224:界面層 228:襯墊層 230:凹陷 240:內側間隔物 250:源極/汲極結構 250B:下表面 250S:側壁 250T:上表面 252、253、254:半導體層 260:開口 262:虛置磊晶蓋層 264:間隔物層 265:接點孔 266:層間介電層 268:氣隙 270:金屬閘極結構 290:源極/汲極接點
圖1A與1B係本發明一些實施例中,形成半導體裝置所用的方法之流程圖。 圖2A係本發明一些實施例中,半導體裝置的三維透視圖。 圖2B係本發明一些實施例中,半導體裝置的平面上視圖。 圖3A、4A、5A、6A、7A、8A、9A、10A、11A、與12A顯示本發明一些實施例中,在圖1的方法之中間階段的圖2A與2B之半導體裝置沿著剖線AA’的剖視圖。 圖3B、4B、5B、6B、7B、8B、9B、10B、11B、與12B顯示本發明一些實施例中,在圖1的方法之中間階段的圖2A與2B之半導體裝置沿著剖線BB’的剖視圖。 圖3C、4C、5C、6C、7C、8C、9C、10C、11C、與12C顯示本發明一些實施例中,在圖1的方法之中間階段的圖2A與2B之半導體裝置沿著剖線CC’的剖視圖。
202:基板
206:介電鰭狀物
208:隔離結構
214:鰭狀物間隔物
220:介電層
250B:下表面
250S:側壁
250T:上表面
264:間隔物層
266:層間介電層
280:矽化物層
290:源極/汲極接點

Claims (1)

  1. 一種半導體裝置,包括: 一半導體鰭狀物,位於一基板上; 一隔離結構,至少部份地圍繞該半導體鰭狀物; 一磊晶的源極/汲極結構,位於該半導體鰭狀物上,其中該磊晶的源極/汲極結構的延伸部份延伸於該隔離結構上;以及 一矽化物層,位於該磊晶的源極/汲極結構上,且該矽化物層連續圍繞該隔離結構上的該磊晶的源極/汲極結構的延伸部份。
TW108138193A 2018-10-31 2019-10-23 半導體裝置 TW202036907A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753466P 2018-10-31 2018-10-31
US62/753,466 2018-10-31
US16/582,547 US10944009B2 (en) 2018-10-31 2019-09-25 Methods of fabricating a FinFET device with wrap-around silicide source/drain structure
US16/582,547 2019-09-25

Publications (1)

Publication Number Publication Date
TW202036907A true TW202036907A (zh) 2020-10-01

Family

ID=70327431

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108138193A TW202036907A (zh) 2018-10-31 2019-10-23 半導體裝置

Country Status (3)

Country Link
US (3) US10944009B2 (zh)
CN (1) CN111129146A (zh)
TW (1) TW202036907A (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10847373B2 (en) * 2018-10-23 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming silicide contact in field-effect transistors
US10944009B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating a FinFET device with wrap-around silicide source/drain structure
KR20200136688A (ko) * 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
CN112309860B (zh) * 2019-07-30 2023-07-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN112420831B (zh) * 2019-08-23 2024-05-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
DE102020131140A1 (de) * 2020-08-10 2022-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gateisolierungsstruktur
KR20220030374A (ko) * 2020-08-28 2022-03-11 삼성전자주식회사 반도체 장치
US11588050B2 (en) * 2020-08-31 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Backside contact
US20220352334A1 (en) * 2021-04-29 2022-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-Gate Field-Effect Transistors and Methods of Forming the Same
KR20230022502A (ko) * 2021-08-09 2023-02-16 삼성전자주식회사 반도체 장치

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009032955A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9276087B2 (en) * 2013-05-10 2016-03-01 Samsung Electronics Co., Ltd. Methods of manufacturing FINFET semiconductor devices using sacrificial gate patterns and selective oxidization of a fin
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10700173B2 (en) * 2018-04-10 2020-06-30 Globalfoundries Inc. FinFET device with a wrap-around silicide source/drain contact structure
US10944009B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating a FinFET device with wrap-around silicide source/drain structure

Also Published As

Publication number Publication date
US11996483B2 (en) 2024-05-28
US20210193842A1 (en) 2021-06-24
US20200135932A1 (en) 2020-04-30
US10944009B2 (en) 2021-03-09
US11695076B2 (en) 2023-07-04
US20230145872A1 (en) 2023-05-11
CN111129146A (zh) 2020-05-08

Similar Documents

Publication Publication Date Title
US11164961B2 (en) Epitaxial features confined by dielectric fins and spacers
TW202036907A (zh) 半導體裝置
TWI820215B (zh) 半導體結構與其製作方法
TW202025398A (zh) 半導體結構的形成方法
CN110957266A (zh) 集成电路制造方法
TW202017059A (zh) 半導體結構的製作方法
TW202113942A (zh) 半導體結構
CN111261521B (zh) 半导体器件及其形成方法
TW202013522A (zh) 多閘極半導體裝置的製作方法
US11495494B2 (en) Methods for reducing contact depth variation in semiconductor fabrication
TW202118058A (zh) 半導體裝置
TW202129910A (zh) 積體電路裝置
TW202103323A (zh) 半導體結構
TW202117856A (zh) 半導體裝置的形成方法
KR20220048909A (ko) 반도체 디바이스를 위한 콘택트 및 그 형성 방법
TWI822111B (zh) 半導體裝置與其形成方法
TWI805260B (zh) 半導體裝置及其製造方法
TWI832158B (zh) 半導體結構與其形成方法
TWI783350B (zh) 半導體結構與其形成方法
KR102610581B1 (ko) 반도체 디바이스 및 방법
TW202143489A (zh) 半導體裝置與其形成方法
TWI815432B (zh) 半導體裝置結構與其形成方法
TWI814265B (zh) 半導體裝置及電容器結構的製造方法
TW202141588A (zh) 半導體結構的形成方法
CN114038802A (zh) 半导体装置的形成方法