TW202013522A - 多閘極半導體裝置的製作方法 - Google Patents

多閘極半導體裝置的製作方法 Download PDF

Info

Publication number
TW202013522A
TW202013522A TW108124419A TW108124419A TW202013522A TW 202013522 A TW202013522 A TW 202013522A TW 108124419 A TW108124419 A TW 108124419A TW 108124419 A TW108124419 A TW 108124419A TW 202013522 A TW202013522 A TW 202013522A
Authority
TW
Taiwan
Prior art keywords
layer
gate
fin
epitaxial
type
Prior art date
Application number
TW108124419A
Other languages
English (en)
Inventor
江國誠
蔡慶威
程冠倫
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013522A publication Critical patent/TW202013522A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

半導體裝置的製作方法包括:提供鰭狀物於基板的第一區中。鰭狀物具有多個第一種磊晶層與多個第二種磊晶層。移除第一鰭狀物的通道區中的第二種磊晶層的一層之一部份,以形成第一間隙於第一種磊晶層的第一層與第一種磊晶層的第二層之間。形成第一閘極結構的第一部份於第一間隙中,且第一閘極結構的第一部份自第一種磊晶層的第一層的第一表面延伸至第一種磊晶層的第二層的第二表面。形成第一源極/汲極結構以鄰接第一閘極結構的第一部份。

Description

多閘極半導體裝置的製作方法
本發明實施例關於半導體裝置,更特別關於全繞式閘極裝置中奈米線之間的合併的界面層及/或高介電常數的閘極介電層。
電子產業對更小且更快速的電子裝置(同時支援更多複雜功能)的需求持續增加。綜上所述,半導體產業中的持續趨勢為製作低成本、高效能、與低能耗的積體電路。達到這些遠程目標的大部份方法為縮小半導體積體電路尺寸(如最小結構尺寸),以改善產能並降低相關成本。然而縮小尺寸會增加半導體製程的複雜度。因此為了實現半導體積體電路與裝置中的持續進展,半導體製程與技術亦需類似進展。
近來導入的多閘極裝置可增加閘極-通道耦合、降低關閉態電流、並減少短通道效應,以改善閘極控制。導入的多閘極裝置之一者為鰭狀場效電晶體。鰭狀場效電晶體的名稱來自於自基板延伸的鰭狀結構,其可用於形成場效電晶體的通道。另一導入的多閘極裝置如全繞式閘極電晶體,可部份地解決鰭狀場效電晶體相關的效能挑戰。全繞式閘極裝置的名稱來自於閘極結構可完全圍繞通道,以提供比鰭狀場效電晶體更佳的靜電控制。鰭狀場效電晶體與全繞式閘極裝置可與習知的互補式金氧半製程相容,且其三維結構在維持閘極控制及緩和短通道效應時可更積極地縮小尺寸。一般而言,在鰭狀場效電晶體不符合效能需求時,可實施全繞式閘極裝置。然而全繞式閘極裝置的製作方法仍面臨挑戰,且現有方法在製作裝置與裝置效能上均面臨挑戰。因此現有技術無法完全符合所有方面的需求。
本發明一實施例提供之多閘極半導體裝置的製作方法,包括:提供第一鰭狀物於基板的第一區中,第一鰭狀物具有多個第一種磊晶層與多個第二種磊晶層;移除第一鰭狀物的通道區中的第二種磊晶層的一層之一部份,以形成第一間隙於第一種磊晶層的第一層與第一種磊晶層的第二層之間;形成第一閘極結構的第一部份於第一間隙中,且第一閘極結構的第一部份自第一種磊晶層的第一層的第一表面延伸至第一種磊晶層的第二層的第二表面;以及形成第一源極/汲極結構以鄰接第一閘極結構的第一部份。
本發明一實施例提供之半導體裝置的製作方法,包括:形成第一鰭狀物,其包括第一矽層與第二矽層;形成第一矽鍺層於第一矽層與第二矽層之間;移除第一矽鍺層的第一部份,以提供開口於通道區中的第一矽層與第二矽層之間;磊晶成長第一源極/汲極結構於第一矽層及第二矽層上,且第一源極/汲極結構鄰接開口;以及形成第一閘極結構的第一部份於開口中,其中與第一閘極結構相鄰的第一矽層與第二矽層的每一者提供通道。
本發明一實施例提供之多閘極半導體裝置,包括:第一鰭狀單元,包括多個矽磊晶層;第一閘極結構,位於第一鰭狀單元的通道區上,其中第一閘極結構的一部份位於矽磊晶層的第一層與第二層之間;間隔物,位於第一閘極結構的側壁上;以及第一磊晶源極/汲極結構,與第一鰭狀單元的通道區相鄰,其中第一磊晶源極/汲極結構鄰接第一閘極結構的一部份,且第一閘極結構的一部份延伸於間隔物下。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
亦需注意的是,本發明實施例的形態為多閘極電晶體。多閘極電晶體包括的閘極結構,形成於通道區的至少兩側上。這些多閘極裝置可包含p型金氧半多閘極裝置或n型金氧半多閘極裝置。由於此處所示的具體例子具有鰭狀結構,因此稱作鰭狀場效電晶體,此處實施例所示的另一多閘極電晶體型態,稱作全繞式閘極裝置。全繞式閘極裝置包括閘置結構或其部份形成於通道區之四側上(比如圍繞通道區的一部份)的任何裝置。此處所述的實施例中,裝置的通道區可為奈米線通道、棒狀通道、及/或其他合適的通道設置。此處所述的實施例中,裝置可具有與單一連續的閘極結構相關的一或多個通道區(如奈米線)。然而本技術領域中具有通常知識者應理解,這些內容可用於單一通道(如單奈米線)或任何數目的通道。本技術領域中具有通常知識者應理解,本發明實施例有益於半導體裝置的其他例子。
本發明實施例比現有技術提供更多優點,但應理解其他實施例可提供不同優點,此處不必說明所有優點,且所有實施例不必具有特定優點。舉例來說,此處所述的實施例包括改善裝置的短通道效應的方法與結構,其可用於進階的電晶體結構。一般而言,至少一些現有方法面臨的一些關鍵製程挑戰包括矽或矽鍺奈米線及/或奈米片的形成方法、內側間隔物的形成方法、以及金屬閘極的填隙方法及設計。具體而言,需解決與內側間隔物相關的問題(比如源極/汲極磊晶層中的缺陷及/或空洞),以增進裝置效能。在本發明實施例中,提供的製程流程與裝置結構包含n型裝置(如n型場效電晶體)所用的奈米線或奈米片裝置,以及p型裝置(如p型場效電晶體)所用的矽鍺鰭狀場效電晶體。可以確定在一些實施例中,p型場效電晶體裝置可包含奈米線或奈米片裝置,而n型場效電晶體裝置可包含鰭狀場效電晶體裝置。在一些實施例中,n型場效電晶體與p型場效電晶體裝置可包含奈米線或奈米片裝置。具體而言,本發明實施例提供簡化的製程流程並最佳化效能。舉例來說,為了解決至少一些現有的內側間隔物與金屬閘極的上述問題,本發明實施例可提供合併的界面層與高介電常數的閘極介電層於奈米線之間。這可省略內側間隔物,並緩解與內側間隔物相關的一些關鍵問題。換言之,一些實施例之相鄰奈米線及/或奈米片之間的空間,可包含合併的界面層與高介電常數的閘極介電層而不具有特用間隔物層,其可簡化製程流程並增進裝置效能。本技術領域中具有通常知識者在閱讀本揭露實施例之後,應可理解其他實施例與優點。
圖1係製作含有多閘極裝置的半導體結構的方法100。此處所述的用語「多閘極裝置」指的是具有至少一些閘極材料位於至少一通道的多側上的裝置。在一些例子中,多閘極裝置可稱作全繞式閘極裝置,其閘極材料位於至少一通道的至少四側上。通道區可稱作「奈米線」,其包含多種幾何形狀(如柱狀、棒狀、或類似形狀)與多種尺寸的通道區。此外,一些例子的多閘極裝置可包含鰭狀場效電晶體裝置,或鰭狀場效電晶體裝置與全繞式閘極裝置的組合。可以理解的是,方法100包含的步驟具有互補式金氧半技術製程流程的特徵,因此僅簡述於此。在方法100之前、之後、及/或之中可進行額外步驟。
圖2至5、6A與6B、7A與7B、8A與8B、9A與9B、10A與10B、11A與11B、以及12A與12B係一實施例中,半導體裝置200於圖1的方法100之多種階段的等角圖。圖9C、10C、11C、與12C係一實施例中,對應上述的個別等角圖的半導體裝置200沿著第一切線X1-X1’的剖視圖,且第一切線X1-X1’平行於n型奈米線及/或奈米片的通道。圖9D、10D、11D、與12D係一實施例中,對應上述的個別等角圖的半導體裝置200沿著第二切線X2-X2’的剖視圖,且第二切線X2-X2’平行於p型鰭狀場效電晶體的通道。圖9E、10E、11E、與12E係一實施例中,對應上述的個別等角圖的半導體裝置200沿著第三切線Y1-Y1’的剖視圖,且第三切線Y1-Y1’垂直於n型奈米線及/或奈米片的通道。圖9F、10F、11F、與12F係一實施例中,對應上述的個別等角圖的半導體裝置200沿著第四切線Y2-Y2’的剖視圖,且第四切線Y2-Y2’垂直於p型鰭狀場效電晶體的通道。
應理解的是,半導體裝置200的部份之製作方法可為互補式金氧半技術製程,因此一些製程僅簡述於此。此外,半導體裝置200可包含多種其他裝置與結構,比如其他型態的裝置如額外電晶體、雙極接面電晶體、電阻、電容、電感、二極體、熔絲、靜態隨機存取記憶體、及/或其他邏輯電路、或類似物,但圖式已簡化以利理解本發明實施例的發明概念。在一些實施例中,半導體裝置200包括多個半導體裝置如電晶體,其包含p型場效電晶體、n型場效電晶體、或類似物,其可彼此內連線。此外,應注意方法100的製程步驟包含搭配圖式說明的任何內容,其僅用於舉例而非侷限本發明實施例製申請專利範圍未實際記載處。舉例來說,雖然下述內容與圖式的方法100形成的裝置具有n型全繞式閘極裝置與互補式p型鰭狀場效電晶體裝置於相同基板上,但應理解其他裝置設置亦屬可能。舉例來說,一些實施例的方法100可用於製作具有n型鰭狀場效電晶體裝置與互補式p型全繞式閘極裝置的裝置。在一些其他例子中,方法100可用於製作具有n型全繞式閘極裝置與互補式p型全繞式閘極裝置的裝置。
方法100一開始的步驟102提供基板。如圖2所示,步驟102的一實施例提供基板202。在一些實施例中,基板202可為半導體基板如矽基板。基板202可包含多種層狀物,包括形成於半導體基板上的導電層或絕緣層。基板202可包含多種摻雜設置,端視本技術領域已知的設計需求而定。舉例來說,可形成不同的摻雜輪廓如n型井或p型井於基板202上的區域中,以用於不同裝置型態(比如設計為用於n型電晶體的n型區212,與設計為用於p型電晶體的p型區214)。不同摻雜輪廓的形成方法可包含摻質的離子佈植及/或擴散製程。基板202的不同裝置型態的區域之間,通常插置隔離結構(如淺溝槽隔離結構)。基板202亦可包含其他半導體材料如鍺、碳化矽、矽鍺、或鑽石。在其他實施例中,基板202可包含半導體化合物及/或半導體合金。此外,基板202可視情況包含磊晶層、可具有應力以增進效能、可包含絕緣層上矽基板、及/或具有其他合適的增進結構。
在方法100的一實施例中,步驟102可進行抗擊穿佈植。可在裝置的通道區下的區域中進行抗擊穿佈植,以避免擊穿或不想要的擴散。在一些實施例中,可進行一或多道抗擊穿佈植,以用於n型裝置區與p型裝置區的每一者。
如圖1所示,方法100的步驟104接著成長一或多個磊晶層於基板上,並形成硬遮罩於磊晶層上。以圖2為例,步驟104的一實施例形成磊晶堆疊204於基板202上。磊晶堆疊204包含交錯設置的第一組成的磊晶層206與第二組成的磊晶層208。第一組成與第二組成可不同。在一實施例中,磊晶層206為矽鍺,而磊晶層208為矽。然而其他實施例可能包含其他第一組成與第二組成,且上述兩者的氧化速率及/或蝕刻選擇性不同。在一些實施例中,當磊晶層206包括矽鍺且磊晶層208包括矽時,磊晶層208的矽氧化速率低於磊晶層206的矽鍺氧化速率。
磊晶層208或其部份可形成半導體裝置200的全繞式閘極電晶體的通道區。舉例來說,磊晶層208可稱作「奈米線」,其用於形成全繞式閘極裝置(比如形成於n型區212中的n型全繞式閘極裝置)的通道區,如下所述。「奈米線」亦用於形成全繞式閘極裝置的源極/汲極結構的部份,如下所述。用語「奈米線」指的是柱狀或其他設置(如棒狀)的半導體層。採用磊晶層208定義裝置通道的內容,將進一步說明如下。
值得注意的是,圖2顯示七層的磊晶層206與六層的磊晶層208,但其僅用於舉例而非侷限本發明實施例至申請專利範圍未實際記載處。可以理解的是,可形成任何數目的磊晶層於磊晶堆疊204上,且磊晶層的數目取決於全繞式閘極裝置所用的通道區所需的數目。在一些實施例中,磊晶層208的數目介於4至10之間。
在一些實施例中,磊晶層206的厚度介於約4nm至約8nm之間。在一些實施例中,磊晶層206可具有實質上一致的厚度。然而在一些例子中,磊晶層206的最頂層可比其餘的磊晶層206厚,以緩解磊晶層206的最頂層在後續的化學機械研磨製程時可能發生的層狀物損失,如下所述。在一些實施例中,磊晶層208的厚度介於約5nm至8nm之間。在一些實施例中,堆疊的磊晶層208可具有實質上一致的厚度。磊晶層208可作為後續形成多閘極裝置(如全繞式閘極裝置)所用的通道區,且其厚度取決於裝置效能的考量,如下詳述。磊晶層206可定義後續形成的多閘極裝置所用的相鄰通道區之間的間隙距離,且磊晶層206的厚度取決於裝置效能的考量。
舉例來說,磊晶堆疊204的磊晶成長方法可為分子束磊晶製程、有機金屬化學氣相沉積製程、及/或其他合適磊晶製程。在一些實施例中,磊晶成長的層狀物如磊晶層208與基板202具有相同材料。在一些實施例中,磊晶成長的層狀物如磊晶層206與208的材料,不同於基板202的材料。如上所述,至少一些例子中的磊晶層206包含磊晶成長的矽鍺層,而磊晶層208包含磊晶成長的矽層。在一些其他實施例中,磊晶層206與208可各自包含其他材料如鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。如上所述,磊晶層206與208的材料選擇依據不同的氧化、蝕刻速率、及/或蝕刻選擇性。在多種實施例中,磊晶層206與208實質上不具有摻質,其外加摻質濃度介於約0cm-3 至約1×1017 cm-3 之間。舉例來說,在磊晶成長製程時不刻意進行摻雜。
如圖2所示,步驟104的其他實施例可形成硬遮罩210於磊晶堆疊204上。在一些實施例中,硬遮罩210包含氧化物層(如含氧化矽的墊氧化物層),以及形成於氧化物層上的氮化物層(如含氮化矽的墊氮化物層)。在一些實施例中,氧化物層可包含熱成長的氧化物、化學氣相沉積的氧化物、及/或原子層沉積的氧化物,而氮化物層可包含化學氣相沉積或其他合適技術所沉積的氮化物層。舉例來說,氧化物層的厚度可介於近似5nm至近似40nm之間。在一些實施例中,氮化物層的厚度可介於近似20nm至近似160nm之間。
方法100的步驟106接著進行p型區的光微影與蝕刻製程。如圖2與3所示的例子,進行步驟106的一實施例。在一些實施例中,進行光微影步驟以形成圖案化的光阻層,其露出p型區214。舉例來說,一些實施例的光微影步驟包括形成光阻層於半導體裝置200上、曝光光阻至一圖案(如p型區遮罩)、進行曝光後烘烤製程、以及顯影光阻以形成圖案化的光阻層。一些實施例在形成圖案化的光阻層之後,進行蝕刻製程以蝕刻p型區214中的硬遮罩210與磊晶堆疊204,而圖案化的光阻層維持遮罩n型區212。在一些例子中,蝕刻製程可包含濕蝕刻、乾蝕刻、或上述之組合。此外,一些實施例的一或多種蝕刻化學劑可用於有效蝕刻硬遮罩210與磊晶堆疊204的磊晶層206與208之每一者。在一些例子中,可持續蝕刻製程直到露出p型區214之中下方的基板202。在蝕刻製程之後,可移除光阻層,且移除方法可採用溶劑、光阻剝除、灰化、或其他合適技術。
方法100的步驟108接著成長磊晶層於p型區中的基板上。如圖3與4所示,步驟108的一實施例形成磊晶層402於p型區214的基板202上。在一些實施例中,磊晶層402包括矽鍺。然而其他實施例的材料亦屬可能。在磊晶層402包括矽鍺的實施例中,矽鍺中的鍺%可介於約0至35%之間。磊晶層402或其部份可形成半導體裝置200的鰭狀場效電晶體的通道區。舉例來說,磊晶層402可用於形成p型區214中的p型鰭狀場效電晶體裝置的通道區,如下所述。磊晶層402或其部份亦可用於形成鰭狀場效電晶體裝置的源極/汲極結構的部份,如下所述。此用磊晶層402以定義通道或裝置通道的內容將進一步說明如下。
與磊晶堆疊204的層狀物類似,磊晶層402的磊晶成長方法可為分子束磊晶製程、有機金屬化學氣相沉積製程、及/或其他合適磊晶成長製程。在一些實施例中,磊晶層402可與基板202包含相同材料。在一些實施例中,磊晶層402可與基板202包含不同材料。如上所述,至少一些例子的磊晶層402包含磊晶成長的矽鍺層。在一些其他實施例中,磊晶層402可包含其他材料如鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;或上述之組合。在多種實施例中,磊晶層402實質上不含摻質(比如其外加摻質濃度介於約0cm-3 至約1×1017 cm-3 之間),比如在磊晶成長製程時不刻意進行摻雜。
方法100的步驟110接著進行化學機械研磨製程。如圖4與5所示,步驟110的一實施例進行化學機械研磨製程。在一些實施例中,化學機械研磨製程自n型區212移除硬遮罩210,並平坦化半導體裝置200的上表面。在一些例子中,化學機械研磨製程亦可移除磊晶層402的頂部。在一些實施例中,化學機械研磨製程亦移除磊晶層206的最頂層的一部份,如上所述。
方法100的步驟112接著形成與圖案化鰭狀單元。如圖5、6A、與6B所示,步驟112的一實施例形成自基板202延伸的多個鰭狀單元602與604。具體而言,多個鰭狀單元602形成於n型區212中(見圖6A),且多個鰭狀單元604形成於p型區214中(見圖6B)。在多種實施例中,鰭狀單元602的每一者包括自基板202形成的基板部份,而磊晶堆疊204的每一磊晶層的部份包括磊晶層206與208。在一些實施例中,每一鰭狀單元604包括自基板202形成的基板部份,以及磊晶層402的一部份。在一些實施例中,鰭狀單元604的鰭狀物寬度等於約3nm至5nm之間,而鰭狀單元604的鰭狀物高度等於約40nm至約60nm之間。在一些例子中,鰭狀單元602的奈米線(磊晶層208)的第一尺寸(平行於鰭狀單元602定義的平面)等於約5nm至8nm之間,而鰭狀單元602的奈米線(磊晶層208)的第二尺寸(平行於鰭狀單元602定義的表面)等於約5nm至14nm之間。
可採用合適製程如光微影與蝕刻製程,以製作鰭狀單元602與604。光微影製程可包含形成光阻層於基板202上(比如形成於圖5的半導體裝置200上)、曝光光阻至一圖案、進行曝光後烘烤製程、以及顯影光阻以形成含光阻的遮罩單元。在一些實施例中,可採用電子束微影製程進行圖案化光阻的步驟,以形成遮罩單元。接著可採用遮罩單元保護基板202的區域與形成其上的層狀物,而蝕刻製程形成溝槽於n型區中未保護的區域中,且溝槽穿過磊晶層206與208至基板202中,以保留多個延伸的鰭狀單元602。蝕刻製程亦形成溝槽於p型區214中未保護的區域中,且溝槽穿過磊晶層402至基板202中,以保留多個延伸的鰭狀單元604。溝槽的蝕刻方法可採用乾蝕刻(如反應性離子蝕刻)、濕蝕刻、及/或其他合適製程。在多種實施例中,可將介電材料填入溝槽以形成鰭狀物之間的淺溝槽隔離結構。
在一些實施例中,用於填入溝槽的介電層可包含氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或本技術領域已知的其他合適材料。在多種實施例中,介電層的沉積方法可為化學氣相沉積製程、次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、及/或其他合適製程。在一些實施例中,沉積介電層之後可退火半導體裝置200,以改良介電層的品質。在一些實施例中,介電層(與後續形成的淺溝槽隔離結構610)可包含多層結構,比如具有一或多個襯墊層。
形成隔離結構如淺溝槽隔離的一些實施例中,可在沉積介電層之後以化學機械研磨製程薄化並平坦化沉積的介電層。化學機械研磨製程可平坦化半導體裝置200的上表面,以形成淺溝槽隔離結構610。在多種實施例中,接著使夾設於鰭狀單元之間的淺溝槽隔離結構610凹陷。如圖6A與6B所示的例子,淺溝槽隔離結構610凹陷之後,鰭狀單元602與604自淺溝槽隔離結構610向上延伸。在一些實施例中,凹陷的製程可包含乾蝕刻製程、濕蝕刻製程、及/或上述之組合。在一些實施例中,控制蝕刻時間以控制凹陷深度,使鰭狀單元602與604其露出的上側部份具有所需高度H。在一些實施例中,高度H露出磊晶堆疊204的每一層,以及實質上所有的磊晶層402。
亦可採用多種其他實施例的方法以形成鰭狀物於基板上,比如定義鰭狀物區(比如以遮罩或隔離區定義鰭狀物區),並以鰭狀單元的形式磊晶成長磊晶堆疊204及/或磊晶層402。在一些實施例中,形成鰭狀物的方法可包括修整製程以減少鰭狀物的寬度。修整製程可包含濕蝕刻製程或乾蝕刻製程。
方法100的步驟114接著形成犧牲層與結構(特別是虛置閘極結構)。雖然本發明實施例關於置換閘極製程(如閘極後製製程),即形成虛置閘極結構之後再取代為閘極結構,但其他設置亦屬可能。
如圖7A與7B所示,步驟114的一實施例形成閘極堆疊702於n型區212中的鰭狀單元602上,並形成閘極堆疊704於p型區214中的鰭狀單元604上。在一實施例中,閘極堆疊702與704為虛置(犧牲)閘極堆疊,之後可移除閘極堆疊702與704,如搭配方法100的步驟122說明的內容。
在一些實施例中,在形成閘極堆疊702與704之前,可形成介電層706。在一些實施例中,介電層706沉積於基板202與鰭狀單元602與604上,並沉積於相鄰的鰭狀單元602與604之間的溝槽中。在一些實施例中,介電層706可包含氧化矽、氮化矽、高介電常數的介電材料、或其他合適材料。在多種實施例中,介電層706的沉積方法可為化學氣相沉積製程、次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他合適製程。舉例來說,介電層706可用於避免後續製程(如後續形成虛置閘極的製程)損傷鰭狀單元602與604。
在採用閘極後製製程的一些實施例中,閘極堆疊702與704為虛置閘極堆疊,其將於半導體裝置200的後續製程階段中置換成最終閘極堆疊。具體而言,之後的製程階段可將閘極堆疊702與704置換成高介電常數的介電層與金屬閘極。在一些實施例中,閘極堆疊702與704形成於基板202上,且至少部份地位於鰭狀單元602與604上。此外,多種實施例的閘極堆疊702與704形成於介電層706上,因此在形成閘極堆疊702與704之前,即沉積介電層706如上述。閘極堆疊702與704之下的鰭狀單元602與604的部份,可稱作通道區。閘極堆疊702與704亦可定義鰭狀單元602與604的源極/汲極區。舉例來說,鰭狀單元602與604的源極/汲極區與通道區相鄰,並位於通道區的兩側上。
在一些實施例中,閘極堆疊702與704包含介電層與閘極707。閘極堆疊702與704亦可包含一或多個硬遮罩708與710。在一些實施例中,硬遮罩708可包含氧化物層,而硬遮罩710可包含氮化物層。在一些實施例中,閘極堆疊702與704的形成方法為多種製程步驟,比如沉積層狀物、圖案化、蝕刻、以及其他合適的製程步驟。在一些實施例中,沉積層狀物的製程包含化學氣相沉積(如低壓化學氣相沉積或電漿輔助化學氣相沉積)、物理氣相沉積、原子層沉積、熱氧化、電子束蒸鍍、其他合適的沉積技術、或上述之組合。舉例來說,形成閘極堆疊的圖案化製程包括微影製程(如光微影或電子束微影),其可進一步包含塗佈光阻(如旋轉塗佈)、軟烘烤、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗、乾燥(如旋乾及/或硬烘烤)、其他合適的微影技術、及/或上述之組合。在一些實施例中,蝕刻製程可包含乾蝕刻如反應性離子蝕刻、濕蝕刻、及/或其他蝕刻方法。
在一些實施例中,閘極堆疊702與704的介電層包含氧化矽。在其他實施例或額外實施例中,閘極堆疊702與704的介電層可包含氮化矽、高介電常數的介電材料、或其他合適材料。在一些實施例中,閘極堆疊702與704的電極層可包含多晶矽。在一些實施例中,硬遮罩708的氧化物包括墊氧化物層,其可包含氧化矽。在一些實施例中,硬遮罩710的氮化物包含墊氮化物層,其可包含氮化矽、氮氧化矽、或碳化矽。
方法100的步驟116沉積間隔物材料層於基板上。間隔物材料層可為順應性的層狀物。之後可回蝕刻間隔物材料層,以形成間隔物單元於閘極堆疊的側壁上。如圖8A與8B所示,步驟116的一實施例形成側壁間隔物802於閘極堆疊702與704的側壁上。形成側壁間隔物802的步驟可包括沉積間隔物材料層於基板202上。在一些例子中,沉積的間隔物材料層可包含介電材料如氧化矽、氮化矽、碳化矽、氮氧化矽、碳氮化矽膜、碳氧化矽、碳氮氧化矽膜、及/或上述之組合。在一些實施例中,間隔物材料層包括多層,比如主要間隔物牆、襯墊層、與類似物。舉例來說,間隔物材料層的形成方法可為沉積介電材料於閘極堆疊702與704上,且沉積方法可採用化學氣相沉積製程、次壓化學氣相沉積製程、可流動的化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、或其他合適製程。
在一些實施例中,沉積間隔物材料層之後,可回蝕刻(如非等向的蝕刻)介電間隔物材料。如圖8A與8B所示的例子,在形成間隔物材料層之後,可回蝕刻間隔物材料層,以露出與閘極堆疊702及704相鄰,但閘極堆疊702及704未覆蓋的鰭狀單元602及604的部份(如源極/汲極區)。間隔物材料層可保留於閘極堆疊702與704的側壁上,以形成側壁間隔物802。在一些實施例中,回蝕刻間隔物材料層的步驟可包含濕蝕刻製程、乾蝕刻製程、多步驟的蝕刻製程、及/或上述之組合。可自露出的磊晶堆疊204與磊晶層402的上表面移除間隔物材料層,並可自露出的磊晶堆疊204與磊晶層402的橫向表面(比如與閘極堆疊702與704相鄰的源極/汲極區中)移除間隔物材料層。亦可自閘極堆疊702與704的上表面移除間隔物層,如圖8A與8B所示。
方法100的步驟118接著形成源極/汲極結構。步驟118的一實施例在形成閘極堆疊702與704及/或側壁間隔物802之後,先回蝕刻介電層706 (見圖7A與7B)以露出閘極堆疊702與704未覆蓋的鰭狀單元602與604的部份(比如源極/汲極區中的部份),其包括磊晶層206、208、與402的部份。在一些例子中,可回蝕刻介電層706,大致蝕刻至下方的淺溝槽隔離結構610。在一些實施例中,在一些實施例中,回蝕刻介電層706的步驟可包括濕蝕刻製程、乾蝕刻製程、多步驟的蝕刻製程、及/或上述之組合。因此形成閘極堆疊702與704時所保留的介電材料,可在此製程中有效保護鰭狀單元602與604。
如圖8A與8B所示的例子,步驟118的另一實施例可形成源極/汲極結構804與806於源極/汲極區中,且源極/汲極區與閘極堆疊702及704相鄰並位於閘極堆疊702及704之兩側上。在一些實施例中,源極/汲極結構804與806的形成方法,係磊晶成長半導體材料層於源極/汲極區中露出的鰭狀單元602與604上。
在多種實施例中,為了形成源極/汲極結構804與806所成長的半導體材料可包含鍺、矽、砷化鎵、砷化鋁鎵、矽鍺、磷砷化鎵、磷化矽、或其他合適材料。源極/汲極結構804與806的形成方法可為一或多道磊晶製程。在一些實施例中,可在磊晶製程時原位摻雜源極/汲極結構804與806。舉例來說,一些實施例中磊晶成長的矽鍺源極/汲極結構可摻雜硼。在一些例子中,磊晶成長的矽磊晶源極/汲極結構可摻雜碳以形成碳化矽的源極/汲極結構;可摻雜磷以形成磷化矽的源極/汲極結構;或可摻雜碳與磷以形成碳磷化矽的源極/汲極結構。在一些實施例中,未原位摻雜源極/汲極結構804與806,改為進行佈植製程以摻雜源極/汲極結構804與806。一些實施例可由分開的製程順序,形成n型的源極/汲極結構與p型的源極/汲極結構的每一者(比如在n型區212與p型區214的每一者中)所用的源極/汲極結構804與806。
方法100的步驟120接著形成層間介電層。如圖9A、9B、9C、與9D所示的例子,步驟120的一實施例形成層間介電層902於基板202上。在一些實施例中,在形成層間介電層902之前,先形成接點蝕刻停止層於基板202上。在一些例子中,接點蝕刻停止層包括氮化矽層、氧化矽層、氮氧化矽層、及/或本技術領域已知的其他材料。接點蝕刻停止層的形成方法可為電漿輔助化學氣相沉積製程及/或其他合適的沉積或氧化製程。在一些實施例中,層間介電層902包含的材料可為四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料。層間介電層902的沉積方法可為電漿輔助化學氣相沉積製程或其他合適的沉積技術。在一些實施例中,形成層間介電層902之後可對半導體裝置200進行高熱預算的製程,以退火層間介電層。
一些例子在沉積層間介電層(及/或接點蝕刻停止層或其他介電層)之後,可進行平坦化製程以露出閘極堆疊702與704的上表面。舉例來說,平坦化製程包含化學機械研磨製程,其可移除閘極堆疊702與704之上的層間介電層902的部份(與接點蝕刻停止層,若存在),並平坦化半導體裝置200的上表面。此外,化學機械研磨製程可移除閘極堆疊702與704之上的硬遮罩708與710,以露出下方的虛置閘極的電極層(如多晶矽電極層)。
方法100的步驟122移除虛置閘極結構(見步驟114)。在一些例子中,可由合適的蝕刻製程自n型區212與p型區開始移除虛置閘極結構的虛置閘極(如多晶矽閘極),如圖9A、9B、9C、9D、9E、與9F所示。一些實施例在移除虛置閘極之後,虛置閘極結構的虛置閘極氧化物仍保留於鰭狀單元602與604上。步驟122的一實施例之後進行n型區212的光微影圖案化製程,可形成圖案化的光阻層904以露出n型區212。舉例來說,一些實施例進行的光微影步驟可包括形成光阻層於半導體裝置200上、曝光光阻至一圖案(如n型區遮罩)、進行曝光後烘烤製程、以及顯影光阻以形成圖案化的光阻層904。一些實施例在形成圖案化的光阻層904之後,可進行蝕刻製程以蝕刻n型區212中的鰭狀單元602上殘留的虛置閘極氧化物,而圖案化的光阻層904維持遮罩p型區214,使虛置閘極氧化物(如氧化物層906)保留於p型區214中的鰭狀單元604上。在一些例子中,蝕刻製程可包含濕蝕刻、乾蝕刻、或上述之組合。
在蝕刻n型區212中鰭狀單元602上殘留的虛置閘極氧化物之後,步驟122的另一實施例移除圖案化的光阻層904。在一些例子中,移除圖案化的光阻層904的方法可採用溶劑、光阻剝除劑、灰化、或其他合適技術。
在步驟122的一些實施例中,選擇性移除n型區212中的全繞式閘極裝置的通道區中的磊晶層。在實施例中,移除虛置閘極結構所提供的溝槽中的鰭狀單元(比如即將形成閘極結構於其上的鰭狀物的區域,或通道區)中的選定磊晶層被移除。如圖10A、10C、與10E所示,可自基板202的通道區與溝槽中移除磊晶層206。在一些實施例中,以選擇性濕蝕刻製程移除磊晶層206。在一些實施例中,選擇性濕蝕蝕刻可包含氨及/或臭氧。舉例來說,選擇性濕蝕刻包含氫氧化四甲基銨。在一實施例中,磊晶層206為矽鍺而磊晶層208為矽,因此可選擇性地移除矽鍺的磊晶層206。在一些實施例中,移除的磊晶層206可稱作內側的矽鍺層。值得注意的是,在步驟122的臨時處理階段中(比如圖10A、10C、與10E),可提供間隙1002於通道區中相鄰的奈米線之間(比如磊晶層208之間的間隙1002)。間隙1002可填有周圍環境的物質(如大氣、氮氣、或類似物)。此外,間隙1002延伸於側壁間隔物802下,並鄰接源極/汲極結構804與806。在後續製程中,合併的界面層與高介電常數的閘極介電層可形成於相鄰的奈米線之間(比如形成於磊晶層208之間),以省略特用的內側間隔物層,如下所述。
在一些實施例中,步驟122亦移除p型區214中的鰭狀單元604上的虛置閘極氧化物(如氧化物層906)。在實施例中,可自移除虛置閘極結構所提供的溝槽中的鰭狀單元604上,移除氧化物層906。舉例來說,可自即將形成閘極結構於其上的鰭狀物的區域或通道區,移除氧化物層906。如圖10B、10D、與10F所示的例子,自基板202的通道區與p型區214的溝槽中移除氧化物層906。在一些實施例中,以濕蝕刻製程移除氧化物層906。在一些實施例中,濕蝕刻包括氫氟酸。在多種例子中,移除氧化物層906所用的蝕刻製程,可與移除磊晶層206所用的蝕刻製程相同。在一些例子中,移除氧化物層906所用的蝕刻製程,可與移除磊晶層206所用的蝕刻製程不同。若採用不同的蝕刻製程,則可依序進行多種蝕刻製程。因此在步驟122之後,可露出用於形成n型區212中的n型全繞式閘極裝置的通道區的奈米線(如磊晶層208)的部份,並露出用於形成p型區214中的p型鰭狀場效電晶體的通道區的磊晶層402的部份。閘極結構形成於這些露出的通道區上,如下所述。
方法100的步驟124接著形成閘極結構。閘極結構可為多閘極電晶體(如全繞式閘極裝置及/或鰭狀場效電晶體裝置)的閘極結構。最終的閘極結構可為高介電常數的閘極介電層與金屬閘極的堆疊,然而其他組成亦屬可能。在一些實施例中,閘極結構與n型全繞式閘極裝置的通道區中的多個奈米線(如磊晶層208,其彼此之間具有間隙)所提供的多通道相關,亦與p型鰭狀場效電晶體的通道區中的磊晶層402所提供的通道相關。
如圖11A、11C、與11E所示的例子,步驟124的一實施例形成閘極介電層於n型區212中的全繞式閘極裝置的溝槽中。溝槽的形成方法為移除虛置閘極結構及/或露出奈米線,如搭配步驟122說明的上述內容。在多種實施例中,閘極介電層包括界面層1102與界面層1102上的高介電常數的閘極介電層1104。如圖11B、11D、與11F所示,閘極介電層亦形成於p型區214中的鰭狀場效電晶體裝置的溝槽中。上述溝槽的形成方法為移除虛置閘極結構。高介電常數的閘極介電層包含的介電材料具有高介電常數,比如大於熱氧化矽的介電常數(約3.9)。
在一些實施例中,界面層1102可包含介電材料如氧化矽、氧化鉿矽、或氮氧化矽。界面層的形成幫法可為化學氧化、熱氧化、原子層沉積、化學氣相沉積、及/或其他合適方法。高介電常數的閘極介電層1104可包含高介電常數的介電層如氧化鉿。在其他實施例中,高介電常數的閘極介電層1104可包含其他高介電常數的介電層,比如氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、氧化鋯矽、氧化鑭、氧化鋁、氧化釔、鈦酸鍶、鈦酸鋇、氧化鋇鋯、氧化鉿鑭、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、鈦酸鋇鍶、氮化矽、氮氧化矽、上述之組合、或其他合適材料。高介電常數的閘極介電層1104的形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、氧化、及/或其他合適方法。
如圖12A、12B、12C、12D、12E、與12F所示的例子,步驟124的另一實施例形成含金屬層1202的金屬閘極於全繞式閘極裝置(在n型區212中)的閘極介電層上,以及鰭狀場效電晶體裝置(在p型區214中)的閘極介電層上。金屬層1202可包含金屬、金屬合金、或金屬矽化物。此外,閘極介電層與金屬閘極堆疊的形成方法,可包含沉積形成多種閘極材料與一或多個襯墊層,並進行一或多道化學機械研磨製程以移除多餘的閘極材料,以平坦化半導體裝置200的上表面。
在一些實施例中,金屬層1202可包含單層或多層結構,比如具有選定功函數以增進裝置效能的金屬層(功函數金屬層)、襯墊層、濕潤層、黏著層、金屬合金、或金屬矽化物的多種組合。舉例來說,金屬層1202可包含鈦、銀、鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、氮化鈦、氮化鉭、釕、鉬、氮化鎢、銅、鎢、錸、銥、鈷、鎳、其他合適金屬材料、或上述之組合。在多種實施例中,金屬層1202的形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍、或其他合適製程。此外,可分別形成不同金屬層,以作為n型場效電晶體與p型場效電晶體所用的金屬層1202。在多種實施例中,可進行化學機械研磨製程,以自金屬層1202移除多餘金屬,進而提供金屬層1202的實質平坦上表面。此外,金屬層1202可提供n型功函數或p型功函數,其可作為電晶體(如全繞式閘極裝置或鰭狀場效電晶體裝置)的閘極。在至少一些實施例中,金屬層1202可包含多晶矽層。以形成於n型區212中的全繞式閘極裝置為例,閘極結構包括夾設於每一磊晶層208之間的部份,而每一磊晶層208各自形成全繞式閘極裝置的通道。
值得注意的是與一些現有技術相較,形成於n型區212中的全繞式閘極裝置不含內側間隔物層。如圖12C所示的例子,相鄰奈米線(如磊晶層208)之間的空間包含合併的界面層及/或高介電常數的閘極介電層(如界面層1102與高介電常數的閘極介電層1104),而不具有特用的內側間隔物。圖12C亦顯示奈米線(如磊晶層208)與合併的界面層/高介電常數的閘極介電層延伸於側壁間隔物802下,並鄰接源極/汲極結構804與806。藉由簡化製程以排除內側間隔物,本發明實施例可緩解與內側間隔物相關的一些關鍵問題(比如源極/汲極磊晶層中的缺陷及/或空洞)。因此可增進裝置效能。值得注意的是,一些實施例中相鄰奈米線之間的空間(在下述奈米線的Y尺寸NW-Y的方向中)可包含合併的界面層1102,而不具有高介電常數的閘極介電層1104。在這些例子中,高介電常數的閘極介電層1104仍位於奈米線的至少一些橫向側部上(在下述奈米線的X尺寸NW-X的方向中)。此外,一些例子中的高介電常數的閘極介電層1104的末端或界面層1102的末端,實質上對準側壁間隔物802的外側橫向表面(比如鄰接層間介電層902的側壁間隔物802的表面),如圖12C所示。
圖12G係圖12E的一部份之放大剖視圖,其更清楚地顯示全繞式閘極裝置的多種實施例之結構與尺寸。在一些實施例中,奈米線的X尺寸NW-X等於約5nm至14nm之間,而奈米線的Y尺寸NW-Y等於約5nm至8nm之間。在一些例子中,奈米線的X尺寸NW-X與奈米線的Y尺寸NW-Y實質上相同。舉例來說,若奈米線的X尺寸NW-X大於奈米線的Y尺寸NW-Y,則奈米結構將改稱作奈米片。在一些例子中,相鄰奈米線之間的空間或間隙(NW-空間)等於約4nm至8nm之間。值得注意的是,相鄰奈米線之間的空間或間隙(NW-空間)實質上等於磊晶層206的厚度,而磊晶層206之前位於相鄰的奈米線之間,且步驟112移除磊晶層206如上述。在一些例子中,可選擇磊晶層206的厚度及相鄰奈米線之間的空間或間隙,以提供相鄰的奈米線之間的合併的界面層或合併的界面層與高介電常數的閘極介電層所需的厚度,以提供相鄰的奈米線之間完全的間隙填充,其亦延伸於側壁間隔物802下;及/或提供全繞式閘極裝置所需的通道區數目(比如相鄰的奈米線之間的空間較小時可提供額外磊晶層208,其形成全繞式閘極裝置所用的通道區)。在一些實施例中,界面層1102的厚度為約0.5nm至1.5nm之間,而高介電常數的閘極介電層1104的厚度為約1nm至3nm之間。
可對半導體裝置200進行後續製程,以形成本技術領域已知的多種結構。舉例來說,後續製程可形成接點開口、接點接屬、與多種接點/通孔/線路與多層內連線結構(如金屬層與層間介電層)於基板202上,其設置為連接多種結構以形成含有一或多個多閘極裝置的功能電路。在此例中,多層內連線結構可包含垂直內連線如通孔或接點,以及水平內連線如金屬線路。多種內連線結構可採用多種導電材料,包括銅、鎢、及/或矽化物。在一例中,採用鑲嵌製程及/或雙鑲嵌製程以形成銅相關的多層內連線結構。此外,可在方法100之前、之中、或之後實施額外製程步驟,且方法100的多種實施例可置換或省略一些上述製程步驟。
舉例來說,雖然方法100形成的半導體裝置200具有n型全繞式閘極裝置(形成於n型區212中)與互補式p型鰭狀場效電晶體裝置(形成於p型區214中),但應理解其他裝置設置亦屬可能。在一些實施例中,方法100製作的裝置可具有n型鰭狀場效電晶體裝置(形成於n型區212中)與互補式p型全繞式閘極裝置(形成於p型區214中)。在一些其他實施例中,方法100可用於製作具有上述的n型全繞式閘極裝置(形成於n型區212中),以及互補式p型全繞式閘極裝置的裝置(形成於p型區214中)。在這些例子中,雖然採用的材料不同,但p型全繞式閘極裝置(形成於p型區214中)的形成方法,可與製作n型全繞式閘極裝置所用的上述方法實質上類似。
依據此處提供的內容,本發明實施例提供的方法與結構對進階的電晶體結構而言,可改善裝置的短通道效應。在本發明實施例中,提供的製程流程與裝置結構包含n型裝置(如n型場效電晶體)所用的奈米線或奈米片裝置,以及p型裝置(如p型場效電晶體)所用的矽鍺鰭狀場效電晶體。在一些實施例中,p型場效電晶體裝置可包含奈米線或奈米片裝置,而n型場效電晶體裝置可包含鰭狀場效電晶體裝置。在一些例子中,n型場效電晶體裝置與p型場效電晶體裝置可包含奈米線或奈米片裝置。具體而言,本發明實施例提供奈米線之間合併的界面層/高介電常數的閘極介電層,以提供簡化的製程流程並最佳化效能,因此可省略內側間隔物。如此一來,可緩解採用內側間隔物的多種相關挑戰。本技術領域中具有通常知識者應理解,此處所述的方法與結構在未偏離本發明實施例範疇的情況下,可用於多種其他半導體裝置使其達到類似優點。
因此本發明實施例說明多閘極半導體裝置的製作方法,其包括提供第一鰭狀物於基板的第一區中。第一鰭狀物具有多個第一種磊晶層與多個第二種磊晶層。方法更包括移除第一鰭狀物的通道區中的第二種磊晶層的一層之一部份,以形成第一間隙於第一種磊晶層的第一層與第一種磊晶層的第二層之間。在一些實施例中,方法更包括形成第一閘極結構的第一部份於第一間隙中,且第一閘極結構的第一部份自第一種磊晶層的第一層的第一表面延伸至第一種磊晶層的第二層的第二表面。在一些例子中,形成第一源極/汲極結構以鄰接第一閘極結構的第一部份。
在一些實施例中,第一間隙中的第一閘極結構的第一部份包括合併的界面層及/或高介電常數的閘極介電層。
在一些實施例中,提供第一鰭狀物的步驟包括:成長矽鍺層,以磊晶成長第二種磊晶層。
在一些實施例中,方法更包括:提供第二鰭狀物於基板的第二區中,且第二鰭狀物包括第三種磊晶層;形成第二閘極結構的第二部份於第二鰭狀物的通道區上;以及形成第二源極/汲極結構,以鄰接第二鰭狀物的通道區。
在一些實施例中,提供第二鰭狀物的步驟包括:成長矽鍺層,以磊晶成長第三種磊晶層。
在一些實施例中,方法更包括採用第一鰭狀物形成n型全繞式閘極裝置,以及採用第二鰭狀物形成p型鰭狀場效電晶體裝置。
在一些實施例中,方法更包括:在提供第一鰭狀物與第二鰭狀物之前,成長磊晶堆疊於基板的第一區與第二區中,且磊晶堆疊包括第一種磊晶層與第二種磊晶層;自基板的第二區移除磊晶堆疊,以露出下方的基板部份;成長第三種磊晶層於第二區中露出的下方的基板部份上;以及蝕刻第一區中的磊晶堆疊以提供第一鰭狀物,並蝕刻第二區中的第三種磊晶層以提供第二鰭狀物。
在一些實施例中,方法更包括:在移除第一鰭狀物的通道區中的第二種磊晶層的一層的一部份以形成第一間隙之前,形成虛置閘極堆疊於第一鰭狀物的通道區上;以及形成間隔物於虛置閘極堆疊的側壁上,其中第一間隙中的第一閘極結構的第一部份,延伸於間隔物之下。
在一些實施例中,方法更包括:在形成間隔物於虛置閘極堆疊的側壁上之後,移除虛置閘極堆疊;以及在移除虛置閘極堆疊之後,移除第一鰭狀物的通道區中的第二種磊晶層的一層的部份,以形成第一間隙。
在一些實施例中,方法更包括:提供第二鰭狀物於基板的第二區中,第二鰭狀物具有多個第三種磊晶層與多個第四種磊晶層;移除第二鰭狀物的通道區中的第四種磊晶層之一層的一部份,以形成第二間隙於第三種磊晶層的第一層與第三種磊晶層的第二層之間;形成第二閘極結構的第二部份於第二間隙中,且第二閘極的第二部份自第三種磊晶層的第一層之第三表面延伸至第三種磊晶層的第二層之第四表面;以及形成第二源極/汲極結構,以鄰接第二閘極結構的第二部份。
在一些實施例中,方法更包括採用第一鰭狀物形成n型全繞式閘極裝置,並採用第二鰭狀物形成p型全繞式閘極裝置。
在另一實施例中,半導體裝置的製作方法包括:形成第一鰭狀物,其包括第一矽層與第二矽層。方法可進一步形成第一矽鍺層於第一矽層與第二矽層之間。在一些實施例中,方法更包括移除第一矽鍺層的第一部份,以提供開口於通道區中的第一矽層與第二矽層之間。在一些例子中,磊晶成長第一源極/汲極結構於第一矽層及第二矽層上,且第一源極/汲極結構鄰接開口。在一些例子中,方法更包括形成第一閘極結構的第一部份於開口中,其中與第一閘極結構相鄰的第一矽層與第二矽層的每一者提供通道。
在一些實施例中,開口延伸於第一閘極結構的側壁上之間隔物之下。
在一些實施例中,開口中的第一閘極結構的第一部份包含合併的界面層或合併的界面層及/或高介電常數的閘極介電層。
在一些實施例中,方法更包括:形成第二鰭狀物,其包括第二矽鍺層;形成第二閘極結構的第二部份於第二鰭狀物的通道區上;以及形成第二源極/汲極結構,以鄰接第二鰭狀物的通道區。
在一些實施例中,第一閘極結構的第一部份包括高介電常數的閘極介電層,且高介電常數的閘極介電層末端實質上對準間隔物的外側橫向表面。
在又一實施例中,多閘極半導體裝置包括:第一鰭狀單元,包括多個矽磊晶層。多閘極半導體裝置可進一步包含第一閘極結構,其位於第一鰭狀單元的通道區上,其中第一閘極結構的一部份位於矽磊晶層的第一層與第二層之間。在一些例子中,多閘極半導體裝置更包含間隔物,其位於第一閘極結構的側壁上;以及第一磊晶源極/汲極結構,其與第一鰭狀單元的通道區相鄰。在一些實施例中,第一磊晶源極/汲極結構鄰接第一閘極結構的一部份,且第一閘極結構的一部份延伸於間隔物下。
在一些實施例中,多閘極半導體裝置更包括:第二鰭狀單元,包括矽鍺層;第二閘極結構,位於第二鰭狀單元的通道區上;以及第二磊晶源極/汲極結構,鄰接第二鰭狀單元的通道區。
在一些實施例中,第一閘極結構的部份包括合併的界面層及/或高介電常數的閘極介電層。
在一些實施例中,n型全繞式閘極裝置包括第一鰭狀單元,且p型鰭狀場效電晶體裝置包括第二鰭狀單元。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
NW-X:奈米線的X尺寸 NW-Y:奈米線的Y尺寸 NW-空間:相鄰奈米線之間的空間或間隙 X1-X1’:第一切線 X2-X2’:第二切線 Y1-Y1’:第三切線 Y2-Y2’:第四切線 100:方法 102、104、106、108、110、112、114、116、118、120、122、124:步驟 200:半導體裝置 202:基板 204:磊晶堆疊 206、208、402:磊晶層 210、708、710:硬遮罩 212:n型區 214:p型區 602、604:鰭狀單元 610:淺溝槽隔離結構 702、704:閘極堆疊 706:介電層 707:介電層與閘極 802:側壁間隔物 804、806:源極/汲極結構 902:層間介電層 904:圖案化的光阻層 906:氧化物層 1002:間隙 1102:界面層 1104:高介電常數的閘極介電層 1202:金屬層
圖1係本發明一或多個實施例中,多閘極裝置或其部份的製作方法的流程圖。 圖2、3、4、5、6A、6B、7A、7B、8A、8B、9A、9B、10A、10B、11A、11B、12A、與12B係一實施例中,依據圖1的方法形成之裝置的等角圖。 圖9C、9D、9E、9F、10C、10D、10E、10F、11C、11D、11E、11F、12C、12D、12E、12F、與12G係一實施例中,依據圖1的方法形成之裝置的剖視圖。
X1-X1’:第一切線
208:磊晶層
802:側壁間隔物
804、806:源極/汲極結構
902:層間介電層
1102:界面層
1104:高介電常數的閘極介電層
1202:金屬層

Claims (1)

  1. 一種多閘極半導體裝置的製作方法,包括: 提供一第一鰭狀物於一基板的一第一區中,該第一鰭狀物具有多個第一種磊晶層與多個第二種磊晶層; 移除該第一鰭狀物的一通道區中的該些第二種磊晶層的一層之一部份,以形成一第一間隙於該些第一種磊晶層的一第一層與該些第一種磊晶層的一第二層之間; 形成一第一閘極結構的一第一部份於該第一間隙中,且該第一閘極結構的該第一部份自該些第一種磊晶層的該第一層的一第一表面延伸至該些第一種磊晶層的該第二層的一第二表面;以及 形成一第一源極/汲極結構以鄰接該第一閘極結構的該第一部份。
TW108124419A 2018-07-16 2019-07-11 多閘極半導體裝置的製作方法 TW202013522A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862698634P 2018-07-16 2018-07-16
US62/698,634 2018-07-16
US16/437,643 US11276695B2 (en) 2018-07-16 2019-06-11 Multi-gate device and related methods
US16/437,643 2019-06-11

Publications (1)

Publication Number Publication Date
TW202013522A true TW202013522A (zh) 2020-04-01

Family

ID=69138502

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108124419A TW202013522A (zh) 2018-07-16 2019-07-11 多閘極半導體裝置的製作方法

Country Status (3)

Country Link
US (2) US11276695B2 (zh)
CN (1) CN110729350A (zh)
TW (1) TW202013522A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11302793B2 (en) 2020-06-15 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and method of forming
TWI807406B (zh) * 2021-03-09 2023-07-01 台灣積體電路製造股份有限公司 半導體結構及其製造方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11043493B2 (en) * 2018-10-12 2021-06-22 International Business Machines Corporation Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
US11728344B2 (en) * 2019-06-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid SRAM design with nano-structures
US11404417B2 (en) * 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11302580B2 (en) * 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
US11984488B2 (en) 2020-07-31 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate device with air gap spacer and backside rail contact and method of fabricating thereof
KR20220080770A (ko) 2020-12-07 2022-06-15 삼성전자주식회사 반도체 소자

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US20140151639A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Nanomesh complementary metal-oxide-semiconductor field effect transistors
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9543440B2 (en) * 2014-06-20 2017-01-10 International Business Machines Corporation High density vertical nanowire stack for field effect transistor
US9818872B2 (en) * 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
EP3127862B1 (en) * 2015-08-06 2018-04-18 IMEC vzw A method of manufacturing a gate-all-around nanowire device comprising two different nanowires
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9362355B1 (en) * 2015-11-13 2016-06-07 International Business Machines Corporation Nanosheet MOSFET with full-height air-gap spacer
US10236362B2 (en) * 2016-06-30 2019-03-19 International Business Machines Corporation Nanowire FET including nanowire channel spacers
KR102574454B1 (ko) * 2016-12-16 2023-09-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102400558B1 (ko) * 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
CN109494190B (zh) * 2017-09-11 2020-07-10 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应半导体的形成方法
US10727320B2 (en) * 2017-12-29 2020-07-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of manufacturing at least one field effect transistor having epitaxially grown electrodes
US11404578B2 (en) * 2018-06-22 2022-08-02 Intel Corporation Dielectric isolation layer between a nanowire transistor and a substrate

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11302793B2 (en) 2020-06-15 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and method of forming
TWI770789B (zh) * 2020-06-15 2022-07-11 台灣積體電路製造股份有限公司 電晶體、半導體裝置及形成方法
US11916124B2 (en) 2020-06-15 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and methods of forming
TWI807406B (zh) * 2021-03-09 2023-07-01 台灣積體電路製造股份有限公司 半導體結構及其製造方法

Also Published As

Publication number Publication date
CN110729350A (zh) 2020-01-24
US11276695B2 (en) 2022-03-15
US20200020692A1 (en) 2020-01-16
US20220208763A1 (en) 2022-06-30

Similar Documents

Publication Publication Date Title
TWI731284B (zh) 半導體結構及形成積體電路結構的方法
TWI711075B (zh) 半導體結構及其製造方法
TWI646683B (zh) 半導體結構及其形成方法
TW202025398A (zh) 半導體結構的形成方法
TWI820215B (zh) 半導體結構與其製作方法
TW202013522A (zh) 多閘極半導體裝置的製作方法
TWI495107B (zh) 半導體裝置之接觸結構、金氧半導體場效電晶體、及半導體裝置之製造方法
TWI706450B (zh) 半導體裝置與其形成方法
TW202046409A (zh) 半導體結構的形成方法
TWI795378B (zh) 積體電路與其形成方法
US20190267375A1 (en) Buried Interconnect Conductor
TW202036907A (zh) 半導體裝置
US11495494B2 (en) Methods for reducing contact depth variation in semiconductor fabrication
TW202127663A (zh) 半導體裝置
TWI828962B (zh) 半導體裝置及其形成方法
TW202127617A (zh) 半導體結構
TW202205436A (zh) 半導體裝置
US20220293792A1 (en) Structure and Method for FinFET Device with Asymmetric Contact
KR102400361B1 (ko) 반도체 소자 및 그 제조 방법
TWI771022B (zh) 半導體元件及其製造方法
TWI682439B (zh) 半導體裝置與其製作方法
TW202230740A (zh) 半導體裝置
TW202004989A (zh) 半導體結構及形成積體電路結構的方法
TWI847249B (zh) 半導體裝置與其形成方法
TWI822111B (zh) 半導體裝置與其形成方法