TWI807406B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI807406B
TWI807406B TW110133012A TW110133012A TWI807406B TW I807406 B TWI807406 B TW I807406B TW 110133012 A TW110133012 A TW 110133012A TW 110133012 A TW110133012 A TW 110133012A TW I807406 B TWI807406 B TW I807406B
Authority
TW
Taiwan
Prior art keywords
conductive
layer
forming
conductive structure
semiconductor
Prior art date
Application number
TW110133012A
Other languages
English (en)
Other versions
TW202249180A (zh
Inventor
王嘉亨
伍邦齊
王朝勳
楊復凱
王美勻
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202249180A publication Critical patent/TW202249180A/zh
Application granted granted Critical
Publication of TWI807406B publication Critical patent/TWI807406B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Photovoltaic Devices (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供半導體結構及其製造方法。半導體結構的製造方法包括:形成閘極結構於基板上,且形成遮罩層覆蓋閘極結構。所述方法還包括:形成源極/汲極結構,鄰近於基板上的閘極結構,且形成接觸件於源極/汲極結構上。所述方法還包括:形成介電層於接觸件及遮罩層上,且形成第一溝槽,穿過介電層及閘極結構上的遮罩層。所述方法還包括;形成第一導電結構於第一溝槽中,且移除第一導電結構的上部。所述方法還包括:形成第二導電結構,穿過介電層且覆蓋接觸件及第一導電結構。

Description

半導體結構及其製造方法
本發明實施例是關於半導體結構及其製造方法,特別是關於具有導電結構的半導體結構及其製造方法。
電子產業正面臨對更小且更快的電子裝置的不斷增長的需求,這些電子裝置能夠執行更多的越來越複雜及精密的功能。因此,在半導體產業中具有製造低成本、高性能及低功率積體電路(IC)的持續的趨勢。到目前為止,這些目標大部分是透過微縮半導體積體電路尺寸(例如,最小部件尺寸)來實現,從而提高生產效率且降低相關成本。然而,這樣的微縮化對半導體製程帶來了更高的複雜性。因此,為了實現半導體積體電路及裝置的持續進步,在半導體製程及技術方面需要對應的進展。
為了透過增加閘極-通道耦合(gate-channel coupling)、減少截止狀態電流(OFF-state current)及降低短通道效應(short-channel effect,SCE)以改善閘極控制,最近已經引入了多閘極裝置。然而,多閘極裝置的製造整合是具有挑戰性的。
本發明實施例提供一種半導體結構的製造方法,包括:形成閘極結構於基板上;形成遮罩層,覆蓋閘極結構;形成源極/汲極結構,鄰近於基板上的閘極結構;形成接觸件於源極/汲極結構上;形成介電層於接觸件及遮罩層上;形成第一溝槽,穿過介電層及閘極結構上的遮罩層;形成第一導電結構於第一溝槽中;移除第一導電結構的上部;以及形成第二導電結構,穿過介電層且覆蓋接觸件及第一導電結構。
本發明實施例提供一種半導體結構的製造方法,包括:形成多個奈米結構於基板上;形成閘極結構,包繞奈米結構;形成源極/汲極結構,附接至鄰近閘極結構的奈米結構;形成接觸件,著陸於源極/汲極結構上;形成介電層於接觸件及閘極結構上;形成第一導電結構,穿過介電層且覆蓋閘極結構;蝕刻第一導電結構的上部,使第一導電結構的頂面低於介電層的頂面;以及形成第二導電結構,穿過介電層以覆蓋接觸件及第一導電結構。
本發明實施例提供一種半導體結構,包括:基板;閘極結構,形成於基板上;遮罩層,形成於閘極結構上;源極/汲極結構,形成於鄰近基板上的閘極結構;接觸件,形成於源極/汲極結構上;第一導電結構,穿過遮罩層形成且著陸於閘極結構上;以及第二導電結構,覆蓋第一導電結構及接觸件,其中第二導電結構包括夾在第一導電結構的上部與接觸件的上部之間的延伸部分。
100:半導體結構
100a,100b,100c:半導體結構
100d,100e,100f,100g:半導體結構
102:基板
104:鰭片結構
104B:基底鰭片結構
106:第一半導體材料層
108:第二半導體材料層
108’:奈米結構
110:遮罩結構
112:襯墊氧化物層
114:氮化物層
116:隔離結構
118:虛設閘極結構
120:虛設閘極介電層
122:虛設閘極電極層
124:硬遮罩層
126:閘極間隔物
128:鰭片間隔物
128’:鰭片間隔物
130:凹槽
132:凹口
134:內間隔物
136:源極/汲極結構
138:接觸蝕刻停止層
140:層間介電層
142:閘極結構
144:界面層
146:閘極介電層
148:閘極電極層
150:蓋層
150b:蓋層
152:遮罩層
154:矽化物層
156:接觸件
156a:接觸件
158:襯層
160:阻障層
162:蝕刻停止層
164:介電層
166:第一溝槽
168:導電材料
168-1:導電材料
168-2:導電材料
169:導電材料
170:導電結構
171:導電結構
171a:導電結構
171b:導電結構
171c:導電結構
171d:導電結構
171e:導電結構
171f:導電結構
171g:導電結構
172:第二溝槽
172a:第二溝槽
173:延伸部分
174:導電材料
176:空隙
176a:空隙
176b:空隙
176c:空隙
176e:空隙
176f:空隙
176g:空隙
178:導電結構
178a:導電結構
178b:導電結構
178c:導電結構
178d:導電結構
178e:導電結構
178f:導電結構
178g:導電結構
179:阻障層
200:半導體結構
204:鰭片結構
242:閘極結構
244:界面層
246:閘極介電層
248:閘極電極層
A-A’:線
B-B’:線
D1:深度
H1:高度
H2:高度差
H3:高度差
T1:厚度
T2:厚度
T3:厚度
T4:厚度
由以下的詳細敘述配合所附圖式,可最好地理解本發明實施例。 應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,可任意地放大或縮小各種元件的尺寸,以清楚地表現出本發明實施例之特徵。
第1A至1E圖是根據一些實施例,繪示製造半導體結構的中間階段的示意圖。
第2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1、2K-1、2L-1、2M-1、2N-1、2O-1及2P-1圖是根據一些實施例,沿著第1E圖的線A-A’繪示製造半導體結構的各種階段的剖面圖。
第2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-2、2H-2、2I-2、2J-2、2K-2、2L-2、2M-2、2N-2、2O-2及2P-2圖是根據一些實施例,沿著第1E圖的線B-B’繪示製造半導體結構的各種階段的剖面圖。
第3圖是根據一些實施例,繪示製造半導體結構的另一中間階段的剖面圖。
第4圖是根據一些實施例,繪示製造半導體結構的另一中間階段的剖面圖。
第5圖是根據一些實施例,繪示半導體結構的剖面圖。
第6A-1、6A-2、6B-1及6B-2圖是根據一些實施例,繪示製造半導體結構的剖面圖。
第7圖是根據一些實施例,繪示半導體結構的剖面圖。
第8圖是根據一些實施例,繪示半導體結構的剖面圖。
第9圖是根據一些實施例,繪示半導體結構的剖面圖。
第10圖是根據一些實施例,繪示半導體結構100e的剖面圖。
第11A及11B圖是根據一些實施例,繪示製造半導體結構的剖面圖。
第12A及12B圖是根據一些實施例,繪示製造半導體結構的剖面圖。
以下揭露提供了許多的實施例或範例,用於實施本發明實施例之不同元件。各元件及其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一及第二元件直接接觸的實施例,也可能包含額外的元件形成在第一及第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考符號以及/或字母。如此重複是為了簡明及清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
文中敘述實施例的一些變化。文中所述的各種視圖及說明性的實施例中,使用相同(或相似)的參考符號標示相同(或相似)的元件。應理解的是,在所述方法之前、期間及/或之後,可提供額外的操作,且一些所述操作在所述方法的其他實施例中可被替換或刪去。
可以使用任何合適的方法圖案化以下敘述的全繞式閘極電晶體(GAA)結構。舉例而言,可以使用一或多道微影製程將所述結構圖案化,其包括雙重圖案化或多重圖案化製程。一般來說,雙重圖案化或多重圖案化製程結合了微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距更小的圖案。舉例而言,在一實施例中,在基板上方形成犧牲層,並使用微影製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。之後移除犧牲層,然後可以將剩餘的間隔物用來圖案化鰭片。
可以使用任何合適的方法圖案化以下敘述的鰭片。舉例而言,可以使用一或多道微影製程將所述鰭片圖案化,其包括雙重圖案化或多重圖案化 製程。一般來說,雙重圖案化或多重圖案化製程結合了微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距更小的圖案。舉例而言,在一實施例中,在基板上方形成犧牲層,並使用微影製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。之後移除犧牲層,然後可以將剩餘的間隔物用來圖案化鰭片。
提供半導體結構及其形成方法的實施例。半導體結構可以包括形成在基板上方的閘極結構及鄰近閘極結構形成的源極/汲極結構。可以在源極/汲極結構上方形成接觸件並且可以形成導電結構以連接接觸件及閘極結構。由於接觸件及閘極結構可以具有不同的高度,導電結構的形成可包括形成在閘極結構上的第一部分,然後形成在第一部分上及接觸件上的第二部分。形成在閘極結構上方的導電結構的第一部分與接觸件之間可以具有相對小的高度差,因此可以防止由於大的高度差而導致閘極結構及接觸件之間的斷開(disconnection)。
第1A至1E圖是根據一些實施例,繪示製造半導體結構100的中間階段的示意圖。如第1A圖所示,根據一些實施例,在基板102上方形成第一半導體材料層106及第二半導體材料層108。
基板102可以是半導體晶圓,例如矽晶圓。替代地或附加地,基板102可以包括元素半導體材料、化合物半導體材料及/或合金半導體材料。元素半導體材料可以包括(但不限於):晶體矽、多晶矽、非晶矽、鍺及/或鑽石。化合物半導體材料可以包括(但不限於):碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦。合金半導體材料可以包括(但不限於):SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP。
在一些實施例中,第一半導體材料層106及第二半導體材料層108 交替地堆疊在基板102上。在一些實施例中,第一半導體材料層106及第二半導體材料層108由不同的半導體的材料形成。在一些實施例中,第一半導體材料層106由SiGe形成,第二半導體材料層108由矽形成。應注意的是,雖然形成了三個第一半導體材料層106及三個第二半導體材料層108,但半導體結構可以包括更多或較少的第一半導體材料層106及第二半導體材料層108。舉例而言,半導體結構可以包括二至五層的第一半導體材料層106及第二半導體材料層。
可使用低壓化學氣相沉積(LPCVD)、磊晶成長、其他合適的方法、或前述的組合形成第一半導體材料層106及第二半導體材料層108。在一些實施例中,磊晶成長製程包括分子束磊晶(MBE)、金屬有機化學氣相沉積(MOCVD)、或氣相磊晶(VPE)。
根據一些實施例,在將第一半導體材料層106及第二半導體材料層108形成為在基板102上的半導體材料堆疊之後,圖案化所述半導體材料堆疊以形成鰭片結構104,如第1B圖所示。在一些實施例中,鰭片結構104包括基底鰭片(base fin)結構104B及第一半導體材料層106及第二半導體材料層108的半導體材料堆疊。
在一些實施例中,圖案化製程包括在半導體材料堆疊上方形成遮罩結構110,並且透過遮罩結構110蝕刻半導體材料堆疊及下方的基板102。在一些實施例中,遮罩結構110是多層結構,包括襯墊氧化物層112及形成在襯墊氧化物層112上的氮化物層114。襯墊氧化物層112可以由氧化矽形成,其是透過熱氧化或化學氣相沉積形成,而氮化物層114可以由氮化矽形成,其是透過化學氣相沉積形成,例如低壓化學氣相沉積或電漿輔助化學氣相沉積(PECVD)。
根據一些實施例,在形成鰭片結構104之後,形成隔離結構116圍 繞鰭片結構104,且移除遮罩結構110,如第1C圖所示。根據一些實施例,隔離結構116被配置以電性隔離半導體結構100的主動區(例如鰭片結構104),且也稱為淺溝槽隔離(STI)部件。
隔離結構116的形成可透過:沉積絕緣材料在基板102上且凹入絕緣材料,使得鰭片結構104從隔離結構116突出。在一些實施例中,隔離結構116由氧化矽、氮化矽、氧氮化矽(SiON)、其他合適的絕緣材料或前述的組合形成。在一些實施例中,在形成隔離結構116之前形成介電襯層(未繪示),介電襯層由氮化矽形成且在介電襯層上方形成的隔離結構由氧化矽形成。
根據一些實施例,在形成隔離結構116之後,形成虛設閘極結構118跨過鰭片結構104且在隔離結構116上方延伸,如第1D圖所示。虛設閘極結構118可以用於定義源極/汲極區及所得到半導體結構100的通道區。
在一些實施例中,虛設閘極結構118包括虛設閘極介電層120及虛設閘極電極層122。在一些實施例中,虛設閘極介電層120是由一或多種介電材料形成,例如氧化矽(silicon oxide)、氮化矽(silicon nitride)、氮氧化矽(SiON)、HfO2、HfZrO、HfSiO、HfTiO、HfAlO、或前述的組合。在一些實施例中,使用熱氧化、化學氣相沉積、原子層沉積(ALD)、物理氣相沉積(PVD)、其它合適的方法、或前述的組合來形成虛設閘極介電層120。
在一些實施例中,導電材料包括多晶矽(polycrystalline-silicon,poly-Si),多晶矽-鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬、或前述的組合。在一些實施例中,使用化學氣相沉積、物理氣相沉積、或前述的組合來形成虛設閘極電極層122。
在一些實施例中,在虛設閘極結構118上方形成硬遮罩層124。在 一些實施例中,硬遮罩層124包括多個層,例如氧化物層及氮化物層。在一些實施例中,氧化物層是氧化矽,且氮化物層是氮化矽。
虛設閘極結構118的形成可包括順應性地形成介電材料作為虛設閘極介電層120。之後,在介電材料上形成導電材料作為虛設閘極電極層122,且硬遮罩層124可以形成在導電材料上方。接著,透過硬遮罩層124圖案化介電材料及導電材料,以形成虛設閘極結構118。
形成虛設閘極結構118之後,沿著虛設閘極結構118的相對側壁形成閘極間隔物126且覆蓋虛設閘極結構118的相對側壁,且沿著鰭片結構104的源極/汲極區的相對側壁形成鰭片間隔物128且覆蓋鰭片結構104的源極/汲極區的相對側壁。
閘極間隔物126可以被配置以分開源極/汲極結構與虛設閘極結構118且支撐虛設閘極結構118,鰭片間隔物128可以被配置以限制隨後形成的源極/汲極結構的橫向成長且支撐鰭片結構104。
在一些實施例中,閘極間隔物126及鰭片間隔物128由介電材料形成,例如氧化矽(SiO2)、氮化矽(SiN)、碳化矽(SiC)、氮氧化矽(SiON)、碳氮化矽(SiCN)、氮碳氧化矽(SiOCN)及/或前述的組合。閘極間隔物126及鰭片間隔物128的形成可以包括:順應性地沉積介電材料,覆蓋在基板102上的虛設閘極結構118、鰭片結構104及隔離結構116、以及執行非等向性蝕刻製程,如乾電漿蝕刻,以移除覆蓋虛設閘極結構118、鰭片結構104及部分隔離結構116的頂面的介電層。
第2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1、2K-1、2L-1、2M-1、2N-1、2O-1及2P-1圖是根據一些實施例,沿著第1E 圖的線A-A’繪示製造半導體結構100的各種階段的剖面圖。第2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-2、2H-2、2I-2、2J-2、2K-2、2L-2、2M-2、2N-2、2O-2及2P-2圖是根據一些實施例,沿著第1E圖的線B-B’繪示製造半導體結構100的各種階段的剖面圖。具體而言,根據一些實施例,第2A-1圖繪示沿著線A-A’所示的剖面示意圖,第2A-2圖繪示沿著線B-B’所示的剖面示意圖。
如第2B-1及2B-2圖所示,根據一些實施例,在形成閘極間隔物126及鰭片間隔物128之後,凹入鰭片結構104的源極/汲極區,以形成源極/汲極凹槽130。具體而言,根據一些實施例,移除了未被虛設閘極結構118及閘極間隔物126覆蓋的第一半導體材料層106及第二半導體材料層108。此外,根據一些實施例,部分的基底鰭片結構104B也被凹入以形成彎曲的頂面,如第2B-1圖所示。
在一些實施例中,執行蝕刻製程凹入鰭片結構104。蝕刻製程可以是非等向性蝕刻製程,如乾電漿刻蝕,且在蝕刻製程期間,虛設閘極結構118及閘極間隔物126作為蝕刻遮罩。在一些實施例中,鰭片間隔物128也被凹入以形成降低的鰭片間隔物128’。
如第2C-1及2C-2圖所示,根據一些實施例,在形成源極/汲極凹槽130後,橫向地凹入被源極/汲極凹槽130露出的第一半導體材料層106,以形成凹口132。
在一些實施例中,對半導體結構100執行蝕刻製程,以從源極/汲極凹槽130橫向地凹入鰭片結構104的第一半導體材料層106。在一些實施例中,在蝕刻製程期間,第一半導體材料層106比第二半導體材料層108具有較高的蝕刻速率(或蝕刻量),從而形成凹口132於鄰近的半導體材料層108之間。在一些實施例中,蝕刻製程是等向性蝕刻,例如乾化學蝕刻、遠端電漿蝕刻、濕化 學蝕刻、其他合適的技術及/或前述的組合。
接著,根據一些實施例,在第二半導體材料層108之間的凹口132中形成內間隔物134,如第2D-1及2D-2圖所示。內間隔物134配置為分開源極/汲極結構及在隨後製程中形成的閘極結構。在一些實施例中,內間隔物134是由介電材料形成,例如氧化矽(SiO2)、氮化矽(SIN)、碳化矽(SiC)、氮氧化矽(SiON)、碳氮化矽(SiCN)、氮碳氧化矽(SiOCN)或前述的組合。
根據一些實施例,在形成內間隔物134之後,在源極/汲極凹槽130中形成源極/汲極結構136,如第2E-1及2E-2圖所示。在一些實施例中,使用磊晶成長製程形成源極/汲極結構136,例如分子束磊晶(MBE)、金屬有機化學氣相沉積(MOCVD)、或氣相磊晶(VPE)、其他合適的磊晶成長製程、或前述的組合。在一些實施例中,源極/汲極結構136由任何適用的材料形成,例如Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP、SiC、SiCP或前述的組合。
在一些實施例中,在磊晶成長製程期間原位摻雜源極/汲極結構136。舉例而言,源極/汲極結構136可以是具有硼(B)摻雜的磊晶成長的矽鍺。舉例而言,源極/汲極結構136可以是:具有碳摻雜的磊晶成長的矽,以形成矽:碳(Si:C)源極/汲極部件、具有磷摻雜的磊晶成長的矽,以形成矽:磷(Si:P)源極/汲極部件、或具有碳及磷摻雜的磊晶成長的矽碳磷(SiCP)源極/汲極部件。在一些實施例中,在磊晶成長製程之後,在一或多道佈植製程中摻雜源極/汲極結構136。
根據一些實施例,如第2F-1及2F-2圖所示,在形成源極/汲極結構136之後,順應性地形成接觸蝕刻停止層(CESL)138以覆蓋源極/汲極結構136且形成層間介電(ILD)層140於接觸蝕刻停止層(CESL)138上。
在一些實施例中,接觸蝕刻停止層138由介電材料形成,例如氮化矽、氧化矽、氧氮化矽、其他合適的介電材料、或前述的組合。透過執行化學氣相沉積、原子層沉積、其他適用方法、或前述的組合,可順應性地沉積接觸蝕刻停止層138的介電材料在半導體結構上。
層間介電層140可包括由多層介電材料形成的多層,例如氧化矽、氮化矽、氮氧化矽、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、及/或其他適用的低k(low-k)介電材料。可透過化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、或其他適用的製程來形成層間介電層140。
如第2F-1圖所示,根據一些實施例,在沉積接觸蝕刻停止層138及層間介電層140之後,可執行平坦化製程,如化學機械研磨或回蝕刻製程,直到露出虛設閘極結構118的虛設閘極電極層122。
接著,如第2G-1及2G-2圖所示,虛設閘極結構118被閘極結構142替換。具體而言,根據一些實施例,虛設閘極結構118及第一半導體材料層106被移除,以形成具有第二半導體材料層108的奈米結構108’。移除製程可以包括一或多道蝕刻製程。舉例而言,當虛設閘極電極層122是多晶矽時,可使用濕蝕刻劑,如氫氧化四甲銨(TMAH)溶液,選擇性地移除虛設閘極電極層122。然後,可以使用電漿乾蝕刻、乾化學蝕刻、及/或濕蝕刻來移除虛設閘極介電層120。可以透過執行移除選擇性的濕蝕刻,例如APM(例如,氫氧化銨-過氧化氫-水(ammonia hydroxide-hydrogen peroxide-water)混合物)蝕刻製程,移除第一半導體材料層106。舉例而言,濕蝕刻製程使用蝕刻劑,例如氫氧化銨(NH4OH)、氫氧化四甲銨(TMAH)、乙二胺鄰苯二酚(ethylenediamine pyrocatechol,EDP) 及/或氫氧化鉀(KOH)溶液。在一些實施例中,閘極間隔物126的上部也被移除。
如第2G-1及2G-2圖所示,根據一些實施例,在形成奈米結構108’之後,形成包繞奈米結構108’的閘極結構142。根據一些實施例,閘極結構142包繞奈米結構108’,以形成全繞式閘極電晶體結構。在一些實施例中,閘極結構142包括界面層144、閘極介電層146以及閘極電極層148。
在一些實施例中,界面層144是氧化物層,形成以圍繞奈米結構108’且位於基底鰭片結構104B的頂部。在一些實施例中,執行熱處理形成界面層144。
在一些實施例中,閘極介電層146形成在界面層144之上,使得奈米結構108’被閘極介電層146圍繞(例如,包繞)。此外,根據一些實施例,閘極介電層146還覆蓋閘極間隔物126及內間隔物134的側壁。在一些實施例中,閘極介電層146是由一或多層介電材料形成,例如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯(zirconium oxide)、氧化鋁(aluminum oxide)、氧化鈦(titanium oxide)、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其它合適的高k(high-k)介電材料、或前述的組合。在一些實施例中,閘極介電層146是使用化學氣相沉積、原子層沉積、其他適用方法、或前述的組合來形成。
在一些實施例中,閘極電極層148形成在的閘極介電層146上。在一些實施例中,閘極電極層148是由一或多層導電材料形成,如鋁(aluminum)、銅(copper)、鈦(titanium)、鉭(tantalum)、鎢(tungsten)、鈷(cobalt)、鉬(molybdenum)、氮化鉭(tantalum nitride)、矽化鎳(nickel silicide)、矽化鈷(cobalt silicide)、氮化鈦(TiN)、氮化鎢(WN)、TiAl、TiAlN、TaCN、 TaC、TaSiN、金屬合金、其它合適的材料、或前述的組合。在一些實施例中,閘極電極層148是使用化學氣相沉積、原子層沉積、電鍍、其他適用方法、或前述的組合來形成。其他導電層,如功函數金屬層,也可以形成在閘極結構142中,雖然沒有在圖式中繪示出。在界面層144、閘極介電層146、及閘極電極層148形成後,可以執行平坦化製程,如化學機械研磨或回蝕刻製程,直到露出層間介電層140。
之後,根據一些實施例,執行回蝕刻製程以移除閘極結構142的上部,且形成蓋層150及遮罩層152在閘極結構142上,如第2G-1及2G-2圖所示。具體而言,根據一些實施例,移除閘極結構142的上部以在層間介電層140中形成凹槽且在凹槽中形成蓋層150及遮罩層152。
在一些實施例中,蓋層150由W、Ti、Co、Ru、Ni或類似材料形成。蓋層150可以使用化學氣相沉積、原子層沉積、電鍍,其他適用方法、或前述的組合來形成。在一些實施例中,蓋層150選擇性地形成在閘極結構142上方。在一些實施例中,遮罩層152由SiO2、Si3N4、SiON、SiOCN、SiOCH或類似材料形成。遮罩層152可以使用化學氣相沉積、原子層沉積、電鍍、其他適用方法、或前述的組合來形成。
如第2H-1及2H-2圖所示,根據一些實施例,在形成遮罩層152之後,形成接觸件開口穿過接觸蝕刻停止層138及層間介電層140,且形成矽化物層154及接觸件156上在源極/汲極結構136上。在一些實施例中,襯層158及阻障層160形成在接觸件156周圍。
具體而言,可以形成接觸件開口穿過接觸蝕刻停止層138及層間介電層140,以露出源極/汲極結構136的頂面,且形成矽化物層154及接觸件156 於接觸件開口中。接觸件開口可以使用微影製程及蝕刻製程來形成。此外,在蝕刻製程期間,接觸件開口所露出的部分源極/汲極結構136也可能被蝕刻。
在接觸件開口形成之後,矽化物層154的形成可透過:在源極/汲極結構136的頂面上形成金屬層並退火金屬層,因此金屬層與源極/汲極結構136反應以形成矽化物層160。在形成矽化物層154之後,可以移除未反應的金屬層。
此後,如第2H-1及2H-2圖所示,根據一些實施例,襯層158、阻障層160及接觸件156形成在接觸件開口中的矽化物層154上並執行研磨製程。如第2H-1圖所示,根據一些實施例,接觸件156的頂面與遮罩層152的頂面實質上齊平。
在一些實施例中,接觸件156由導電材料形成,包括鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、鈷、氮化鉭(TAN)、矽化鎳(NiS)、矽化鈷(CoSi)、矽化銅、碳化鉭(TaC)、氮矽化鉭(TaSiN)、氮碳化鉭(TaCN)、鋁鈦(TiAl)、氮化鋁鈦(TiAlN)、其他適用的導電材料或前述的組合。在一些實施例中,襯層158是由氮化矽形成,然而可使用任何其它適用的介電質作為替代。在一些實施例中,阻障層160是由氮化鉭形成,然而也可以使用其它材料,如鉭、鈦、氮化鈦或類似材料。襯層158、阻障層160及接觸件156可以使用,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、電漿輔助化學氣相沉積(PECVD)、電漿輔助物理氣相沉積(PEPVD)、原子層沉積(ALD)或任何其他適用的沉積製程來形成。
在一些實施例中,接觸件156的頂面高於閘極結構142的頂面並且與遮罩層152實質上齊平。在一些實施例中,接觸件156與閘極結構142之間的高度差與遮罩層152的高度實質上齊平。
如第2I-1及2I-2圖所示,根據一些實施例,在形成接觸件156後,形成蝕刻停止層162於接觸件156及遮罩層152上,且形成介電層164於蝕刻停止層162上。
在一些實施例中,蝕刻停止層162由介電材料形成,例如氮化矽、氧化矽、氮氧化矽、其他合適的介電材料或前述的組合。可執行化學氣相沉積、原子層沉積、其他適用方法、或前述的組合將接觸蝕刻停止層162的介電材料順應性地沉積在半導體結構上。
介電層164可以包括由多個介電材料形成的多層,例如氧化矽、氮化矽、氮氧化矽、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、及/或其他適用的低k介電材料。介電層164可以透過化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其它適用的製程來形成。
如第2J-1及2J-2圖所示,根據一些實施例,在形成介電層164之後,形成第一溝槽166穿過遮罩層152、蝕刻停止層162及介電層164。在一些實施例中,當形成第一溝槽166時,蓋層150的上部也被蝕刻。可以執行一或多道蝕刻製程,包括乾蝕刻製程及/或濕蝕刻製程,以形成第一溝槽166。
接著,如第2K-1及2K-2圖所示,根據一些實施例,在第一溝槽166中形成導電材料168。在一些實施例中,導電材料168是W、Ru、Mo或類似材料。在一些實施例中,執行化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其它適用的製程以形成導電材料168。
在一些實施例中,執行由下而上(bottom-up)沉積製程形成導電材料168。由下而上的沉積製程通常是指從開口底部填充到頂部的沉積製程。透過使用由下而上的沉積製程,可以填充第一溝槽166而其中不產生氣隙。在一些 實施例中,由下而上的沉積製程是選擇性的化學氣相沉積製程,其中導電材料168選擇性地沉積在蓋層150上。
在一些實施例中,導電材料168是Ru,且在由下而上的沉積製程中使用的前軀氣體包括Ru(CO)5、Ru3(CO)12、RuCl3、Ru(od)3、雙(環戊二烯)釕(II)(Bis(cyclopentadienyl)ruthenium(II))、Ru(CO)3C6H8、Ru(CO)2(tmhd)2、Ru(EtCp)2,Ru(CO)2(acac)2、Ru(C6H6)(C6H8)、Ru(DMBD)(CO)3、前述的組合或類似材料。在一些實施例中,導電材料168是W,並且在由下而上的沉積製程中使用的前軀氣體包括W(CO)6、W(F)6或類似材料。在一些實施例中,導電材料168是Mo,並且在由下而上的沉積製程中使用的前軀氣體包括MoF6、Mo(CO)6、MoCl5、MoOxCly或類似材料。
之後,如第2L-1及2L-2圖所示,根據一些實施例,執行研磨製程以在閘極結構142上方的第一溝槽166中形成導電結構170。在一些實施例中,執行化學機械研磨製程以研磨導電材料168,使得導電結構170的頂面與介電層164的頂面實質上齊平。
之後,如第2M-1及2M-2圖所示,根據一些實施例,導電結構170被縮短以形成縮短的導電結構171。具體而言,根據一些實施例,導電結構170的上部被回蝕刻,使得縮短的導電結構171的頂面與蝕刻停止層162的頂面實質上齊平。
在一些實施例中,縮短的導電結構171的高度H1範圍從約10nm至約50nm。在一些實施例中,溝槽167的深度D1(例如,移除導電結構170的上部的高度)在約30nm至約70nm的範圍。在一些實施例中,高度H1與深度D1的比率範圍約0.6至約7。
如第2N-1及2N-2圖所示,根據一些實施例,在導電結構170被縮短後,形成第二溝槽172穿過介電層164及蝕刻停止層162,以露出接觸件156的頂面及縮短的導電結構171的頂面。可以執行蝕刻製程形成第二溝槽172。根據一些實施例,在蝕刻製程期間,接觸件156的角落及縮短的導電結構171的角落皆被蝕刻,使得接觸件156及縮短的導電結構171具有朝向彼此的圓角。
在一些實施例中,遮罩層152的一部分也被移除,使得第二溝槽172具有延伸到遮罩層142中的延伸部分173。在一些實施例中,第二溝槽172的延伸部分173的最底部分低於接觸件156的頂面。此外,根據一些實施例,第二溝槽172的延伸部分173露出縮短的導電結構171的側壁的上部。
接著,如第2O-1及2O-2圖所示,根據一些實施例,在第二溝槽172中形成導電材料174。根據一些實施例,由於縮短的導電結構171的角落被蝕刻,所以縮短的導電結構171及導電材料174具有彎曲界面。
在一些實施例中,導電材料174是W、Ru、Mo、Cu或類似材料。在一些實施例中,導電材料174及導電材料168是相同的金屬。在一些實施例中,導電材料174及導電材料168是不同的金屬。
在一些實施例中,導電材料174是透過執行化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其它適用的製程來形成。在一些實施例中,導電材料174是透過執行由下而上的沉積製程來形成。用於形成導電材料174的由下而上的沉積製程可以是相同或相似於用於形成導電材料168的製程,在此不再重複。在一些實施例中,用於導電材料174及導電材料168的沉積製程(例如前軀氣體)是相同的。
由於先形成縮短的導電結構171,第二溝槽172的不同區域(例如 在接觸件156上的區域及在閘極結構142上的區域)的高度差可以相對較小。因此,可以執行由下而上的沉積製程形成導電材料174,改善接觸件156與閘極結構142之間的連接。
在一些實施例中,空隙176形成於第二溝槽172的延伸部分173的導電材料174中。在一些實施例中,空隙176夾在接觸件156及縮短的導電結構171之間並且位於遮罩層152正上方。
之後,如第2P-1及2P-2圖所示,根據一些實施例,進行研磨製程以在半導體結構100中形成導電結構178。在一些實施例中,執行化學機械研磨製程以研磨導電材料174,使導電結構178的頂面與介電層164的頂面實質上齊平。
在一些實施例中,縮短的導電結構171及接觸件156之間的高度差H2小於10nm。由於縮短的導電結構171與接觸件156之間的高度差H2相對較小,因此可以更好地形成導電結構178。換言之,可以降低由於閘極結構142及接觸件156之間的高度差而導致閘極結構142及接觸件156之間斷開的風險。在一些實施例中,縮短的導電結構171及接觸件156之間的高度差H2與接觸件156及閘極結構142之間的高度差H3的比率範圍從約0.2到約0.5。
如第2P-1圖所示,導電結構178在不同部分可具有不同的厚度。在一些實施例中,導電結構178在接觸件156上具有第一部分,且第一部分的厚度T1範圍為約35nm至約90nm。在一些實施例中,導電結構178在縮短的導電結構171上具有第二部分,且第二部分的厚度T2範圍為約30nm至約70nm。在一些實施例中,厚度T2與厚度T1的比率範圍約0.5至約3。
在一些實施例中,導電結構178具有位於第一部分及第二部分之 間的第三部分,且第三部分的厚度T3範圍約38nm到約100nm。具體而言,導電結構178具有介於縮短的導電結構171與接觸件156之間的延伸部分,且延伸部分的厚度T4範圍約3nm至約10nm。
在一些實施例中,導電結構178的最底部分(例如導電結構178的延伸部分的最底部分)低於縮短的導電結構171、接觸件156、遮罩層152及蝕刻停止層162的最頂部分(例如頂面)。此外,導電結構178的最底部分高於縮短的導電結構171、接觸件156及遮罩層152的最底表面。
應理解的是,雖然第2P-1及2P-2圖所示的剖面圖是參照方法敘述,但所述的結構不受限於此方法,而可以與方法分開。
第3圖是根據一些實施例,繪示製造半導體結構100的另一中間階段的剖面圖。根據一些實施例,可以執行上述用於製造半導體結構100的製程,差別在於形成在第一溝槽中的導電材料168-1可以比第2K-1圖所示的導電材料168薄。
具體而言,根據一些實施例,執行第1A至1E、2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1及2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-2、2H-2、2I-2、2J-2圖的製程,以形成第一溝槽(例如,第一溝槽166)穿過遮罩層152、蝕刻停止層162、及介電層164。之後,如第3圖所示,根據一些實施例,在第一溝槽中形成導電材料168-1。導電材料168-1可以類似於導電材料168,差別在於導電材料168-1未覆蓋介電層164的頂面。形成導電材料168-1的製程及材料可以類似或相同於形成導電材料168的製程及材料,在此不再重複。
在一些實施例中,導電材料168-1的頂面低於介電層164的頂面,使得第一溝槽沒有被導電材料168-1完全填充。根據一些實施例,在形成導電材 料168-1之後,執行研磨製程以在閘極結構142上方形成導電結構。根據一些實施例,在研磨製程中,導電材料168-1的上部及介電層164的上部被移除,使得所得的導電結構及介電層164仍可以具有實質上平坦的頂面(類似於第2L-1及2L-2圖所示的)。之後,可以執行第2M-1、2N-1、2O-1及2P-1及2M-2、2N-2、2O-2及2P-2圖所示的製程,以形成半導體結構100。
第4圖是根據一些實施例,繪示製造半導體結構100的另一中間階段的剖面圖。根據一些實施例,可以執行上述用於製造半導體結構100的製程,差別在於形成導電材料168-2及附加的導電材料169。
具體而言,根據一些實施例,執行第1A至1E、2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1及2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-2、2H-2、2I-2、2J-2圖所示的製程,以形成穿過遮罩層152、蝕刻停止層162及介電層164的第一溝槽(例如第一溝槽166)。然後,如第4圖所示,根據一些實施例,在第一溝槽中形成導電材料168-2,且在導電材料168-2上形成導電材料169。用於形成導電材料168-2的製程及材料可以類似於或相同於用於形成導電材料168的製程及材料,在此不再重複。
根據一些實施例,類似於導電材料168-1,導電材料168-2的頂面低於介電層164的頂面,使得第一溝槽沒有被導電材料168-2完全填充。此外,根據一些實施例,第一溝槽的上部被導電材料169填充且介電層164的頂面被導電材料169覆蓋。
在一些實施例中,導電材料168-2及導電材料169由相同的材料形成,但是由不同的製程形成。在一些實施例中,執行化學氣相沉積製程形成導電材料169。
根據一些實施例,在形成導電材料169之後,執行研磨製程以在閘極結構142上方形成導電結構。在一些實施例中,導電材料169在研磨製程中被完全地移除,使得所得到的導電結構是完全由導電材料168-2形成。在一些實施例中,導電材料168-2的上部及介電層164的上部在研磨製程中也被移除。由於第一溝槽的上部被導電材料169填充且介電層164的頂面被導電材料169覆蓋,所以可以提高研磨製程的均勻度。之後,可以執行第2M-1、2N-1、2O-1及2P-1以及2M-2、2N-2、2O-2及2P-2圖所示的製程,以形成半導體結構100。
第5圖是根據一些實施例,繪示半導體結構200的剖面圖。根據一些實施例,半導體結構200可以類似於第2P-1及2P-2圖所示的半導體結構100,差別在於半導體結構200是鰭式場效電晶體結構。用於製造半導體結構200的材料及製程可以類似或相同於上述用於製造半導體結構100的材料及製程,在此不再重複。
具體而言,如第5圖所示,根據一些實施例,形成從基板102突出的鰭片結構204且形成跨過鰭片結構204的閘極結構242。根據一些實施例,閘極結構242包括界面層244、閘極介電層246及閘極電極層248。形成界面層244、閘極介電層246及閘極電極層248的製程及材料相同於形成界面層144、閘極介電層146及閘極電極層148的製程及材料,並且不在此重複。如第5圖所示,根據一些實施例,類似於第2P-1及2P-2圖所示,縮短的導電結構171形成於閘極結構242上且導電結構178覆蓋接觸件156及閘極結構242上的縮短的導電結構171。
第6A-1、6A-2、6B-1及6B-2圖是根據一些實施例,繪示製造半導體結構100a的剖面圖。根據一些實施例,半導體結構100a可相同於半導體結構100,差別在於接觸件及縮短的導電結構的形狀不同。用於製造半導體結構100a 的材料及製程可以類似或相同於上述用於製造半導體結構100的材料及製程,在此不再重複。
具體而言,第6A-1及6B-1圖是沿著鰭片方向的剖面圖,第6A-2及6B-2圖是沿著閘極方向的剖面圖。類似於形成半導體結構100的,執行第1A至1E、2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1、2K-1、2L-1、2M-1、及2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-2、2H-2、2I-2、2J-2、2K-2、2L-2、2M-2圖所示的製程,以形成縮短的導電結構171a。此後,根據一些實施例,如第6A-1及6B-1圖所示,進行蝕刻製程以形成第二溝槽172a穿過介電層164及蝕刻停止層162。在蝕刻製程中,可以選擇蝕刻劑以在導電材料及介電材料之間具有良好的蝕刻選擇性,由此實質上保留接觸件156a及縮短的導電結構171a的形狀。
接著,根據一些實施例,如第6B-1及6B-2圖所示,執行第2O-1、2O-2、2P-1及2P-2圖中及上述的製程,以在半導體結構100a中形成導電結構178a。此外,根據一些實施例,空隙176a形成在導電結構178a中且夾在縮短的導電結構171a及接觸件156a之間。形成接觸件156a、縮短導電結構171a及導電結構178a的製程及材料可以相同於上述形成接觸件156、縮短的導電結構171及導電結構178的製程及材料相同,在此不再重複。
第7圖是根據一些實施例,繪示半導體結構100b的剖面圖。根據一些實施例,半導體結構100b可以相同於第2P-1及2P-2圖所示的半導體結構100,差別在於蓋層150b在閘極間隔物126上方延伸。製造半導體結構100b的材料及製程可相似或相同於製造半導體結構100的材料及製程,在此不再重複。
具體而言,根據一些實施例,蓋層150b夾在縮短的導電結構171b 及閘極結構142之間且也夾在遮罩層152及閘極間隔物126之間。在一些實施例中,蓋層150b直接接觸圍繞接觸件156的襯層158。在一些實施例中,蓋層150b垂直上重疊在導電結構178b中的空隙176b。
在一些實施例中,蓋層150b由W、Ti、Co、Ru、Ni或類似材料形成。蓋層150b可使用化學氣相沉積、原子層沉積、電鍍、其他適用方法、或前述的組合來形成。形成縮短的導電結構171b及導電結構178b的製程及材料可以與上述形成縮短導電結構171及導電結構178的製程及材料相同,在此不重複敘述。
第8圖是根據一些實施例,繪示半導體結構100c的剖面圖。根據一些實施例,半導體結構100c可以相同於第2P-1及2P-2圖所示的半導體結構100,差別在於阻障層179形成於導電結構178c周圍。製造半導體結構100c的材料及製程可以類似或相同於製造半導體結構100的材料及製程,在此不再重複。
具體而言,如第8圖所示,根據一些實施例,在形成第二溝槽之後(例如,第2N-1及2N-2圖所示的第二溝槽172),形成阻障層179襯於第二溝槽且在阻障層179上方形成導電結構178c。在一些實施例中,阻障層179由氮化鉭材料形成,然而也可以使用其他材料,如鉭、鈦、氮化鈦、或類似類似材料。阻障層179可以使用例如化學氣相沉積(CVD)、物理氣相沉積(PVD),電漿輔助化學氣相沉積(PECVD),電漿輔助物理氣相沉積(PEPVD)、原子層沉積(ALD)、或任何其他適用的沉積製程來形成。
在一些實施例中,阻障層179的一部分夾在縮短的導電結構171c及接觸件156之間。在一些實施例中,阻障層179直接接觸接觸件156、遮罩層152及縮短的導電結構171c。在一些實施例中,阻障層179的最底部分低於遮罩層152 的最頂部分、接觸件156的最頂部分及縮短的導電結構171c的最頂部分。形成縮短的導電結構171c及導電結構178c的製程及材料可以相同於上述形成縮短的導電結構171及導電結構178的製程及材料,在此不再重複。
第9圖是根據一些實施例,繪示半導體結構100d的剖面圖。根據一些實施例,半導體結構100d可以相同於第2P-1及2P-2圖所示的半導體結構100,差別在於導電結構178d中沒有形成空隙。製造半導體結構100d的材料及製程可以類似或相同於上述製造半導體結構100的材料及製程,在此不再重複。
具體而言,參照第9圖,根據一些實施例,在形成第二溝槽(例如第2N-1及2N-2圖所示的第二溝槽171)之後,導電結構178d完全填充第二溝槽。形成縮短的導電結構171d及導電結構178d的製程及材料可以相同於上述形成縮短導電結構171及導電結構178的製程及材料,在此不再重複。
第10圖是根據一些實施例,繪示半導體結構100e的剖面圖。半導體結構100e可以相同於第2P-1及2P-2圖與所示的半導體結構100,差別在於空隙176e形成於導電結構178e及遮罩層152之間。製造半導體結構100e的材料及製程可以類似或相同於上述製造半導體結構100的材料及製程,在此不再重複。
具體而言,如第10圖所示,根據一些實施例,在形成第二溝槽之後,第二溝槽的延伸部分的底部部分(例如,在第2N-1圖中所示的延伸部分173)未被導電結構178e填充,使空隙176e形成。在一些實施例中,空隙176e露出縮短的導電結構171e的側壁及遮罩層152的頂面。在一些實施例中,空隙176e的最底區域低於導電結構178e的最底部分。形成縮短的導電結構171e及導電結構178e的製程及材料可以相同於上述形成縮短導電結構171及導電結構178的製程及材料,在此不再重複。
第11A及11B圖是根據一些實施例,繪示製造半導體結構100f的剖面圖。根據一些實施例,半導體結構100f可以相同於半導體結構100,差別在於縮短的導電結構171f高於縮短的導電結構171。製造半導體結構100f的材料及製程可以類似或相同於上述製造半導體結構100的材料及製程,在此不再重複。
具體而言,可以執行第1A至1E、2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1、2K-1、2L-1及2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-2、2H-2、2I-2、2J-2、2K-2、2L-2圖中所示的製程,以形成導電結構(例如第2L-1圖中所示的導電結構170)。接著,如第11A圖所示,根據一些實施例,將導電結構縮短以形成縮短的導電結構171f,且縮短的導電結構171f的頂面高於蝕刻停止層162的頂面。
接著,如第11B圖所示,根據一些實施例,執行先前描述的第2N-1、2O-1及2P-1以及2N-2、2O-2及2P-2圖所示的製程,以在半導體結構100f中形成導電結構178f。此外,根據一些實施例,空隙176f形成在導電結構178f中且夾在縮短的導電結構171f及接觸件156之間。由於蝕刻停止層162的側壁被縮短的導電結構171f完全覆蓋,蝕刻停止層162可以在用於形成第二溝槽的蝕刻製程期間被保護,因此降低導電結構178f與相鄰的接觸件156(例如,第11B圖中右側的接觸件)之間的短路風險。
形成縮短的導電結構171f及導電結構178f的製程及材料可以相同於上述形成縮短導電結構171及導電結構178的製程及材料,在此不再重複。
第12A及12B圖是根據一些實施例,繪示製造半導體結構100g的剖面圖。根據一些實施例,半導體結構100g可以相同於半導體結構100,差別在於縮短的導電結構171g低於縮短的導電結構171。製造半導體結構100g的材料及製 程可以類似或相同於上述製造半導體結構100的材料及製程,在此不再重複。
具體而言,可以執行第1A至1E、2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1、2K-1、2L-1及2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-2、2H-2、2I-2、2J-2、2K-2、2L-2圖中所示的製程,以形成導電結構(例如第2L-1圖中所示的導電結構170)。接著,如第12A圖所示,根據一些實施例,將導電結構縮短以形成縮短的導電結構171g,且縮短的導電結構171g的頂面低於蝕刻停止層162的頂面。
接著,如第12B圖所示,根據一些實施例,執行第2N-1、2O-1及2P-1以及2N-2、2O-2及2P-2圖中以及前面描述的製程,以形成導電結構178g於半導體結構100g,如圖。此外,根據一些實施例,空隙176g形成在導電結構178g中,並且也夾在縮短的導電結構171g與接觸件156之間。由於蝕刻停止層162的側壁被縮短的導電結構171g部分地覆蓋,所以蝕刻停止層162仍可在蝕刻製程期間被保護且可以減少蝕刻停止層162的橫向蝕刻。
形成縮短的導電結構171g及導電結構178g的製程及材料可以相同於上述形成縮短導電結構171及導電結構178的製程及材料,在此不再重複。
應理解的是,具有縮短的導電結構171a至171g及導電結構178a至178g的半導體結構100a至100g也可以應用到鰭式場效電晶體結構,類似第5圖所示,雖然沒有在圖式中繪示。
一般而言,可以形成導電結構以連接閘極結構與源極/汲極結構上方的接觸件。然而,隨著裝置尺寸縮小,可能需要在相對較小的溝槽中形成導電結構。在某些情況下,可以執行由下而上的沉積以填充導電材料到小溝槽中,因此形成較少的氣隙於溝槽中。然而,由於接觸件可能遠高於閘極結構,當導 電材料形成於溝槽中時,在接觸件上方形成的導電材料可能會先阻擋溝槽的開口,使閘極結構上方的導電材料(亦即在溝槽的較深部分)可能未完全填充。換言之,接觸件及閘極結構可能沒有被良好地連接。
因此,根據一些實施例,先在閘極結構142上方形成第一導電結構(例如導電結構170),並且移除導電結構的上部以形成縮短的導電結構(例如縮短的導電結構171及171a至171g)。藉由形成縮短的導電結構,之後形成的第二導電結構(例如導電結構178及178a至178g)可以透過由下而上的沉積來形成,而不需擔心由於上述相對較大的高度差導致的阻擋問題。此外,由於縮短的導電結構及之後形成的第二導電結構都可以透過執行由下而上的沉積來形成,所以可以改善溝槽的填充並因此可以提高所得的半導體結構(例如半導體結構100、100a至100g及200)的性能。
應注意的是,第1A至1E、2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1、2K-1、2L-1、2M-1、2N-1、2O-1、2P-1、2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-、6A-1、6A-2、6B-1、6B-2、7、8、9、10、11A、11B、12A及12B圖中的相同元件可以以相同的符號標示且可以包括相似或相同的材料且可透過相似或相同的製程形成;因此,為了簡潔起見,省略了這樣的細節。此外,雖然第1A至1E、2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1、2K-1、2L-1、2M-1、2N-1、2O-1、2P-1、2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-、6A-1、6A-2、6B-1、6B-2、7、8、9、10、11A、11B、12A及12B圖是參照方法敘述,應理解的是,但第1A至1E、2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1、2K-1、2L-1、2M-1、2N-1、2O-1、2P-1、2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-、6A-1、6A-2、6B-1、6B-2、 7、8、9、10、11A、11B、12A及12B圖所述的結構不受限於所述的方法,而可以是獨立於所述方法的結構。類似地,第1A至1E、2A-1、2B-1、2C-1、2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1、2K-1、2L-1、2M-1、2N-1、2O-1、2P-1、2A-2、2B-2、2C-2、2D-2、2E-2、2F-2、2G-、6A-1、6A-2、6B-1、6B-2、7、8、9、10、11A、11B、12A及12B圖中所示的方法不受限於所揭露的結構,而可以是獨立於所述結構的方法。此外,根據一些實施例,上述奈米結構可以包括奈米線、奈米片或其他適用的奈米結構。
此外,雖然將所揭露的方法繪示及描述為一系列動作或事件,應當理解的是,在一些其他實施例中可以改變這樣的動作或事件所繪示的順序。舉例而言,一些動作可以以不同的順序發生及/或與以上繪示及/或描述的動作或事件以外的其他動作或事件同時發生。另外,實施上述的一或多個方面或一或多個實施例可以不需要所有所示的動作。此外,上述的一或多個動作可以在一或多個單獨的動作及/或階段中進行。
此外,上述用語「大約(approximately)」、「實質上(substantially)」、「實質的(substantial)」及「大約(about)」是說明小的變化且在不同技術中可以不同,並且在本發明所屬技術領域中具有通常知識者理解的偏差範圍內。舉例而言,當與事件或情況結合使用時,這些用語可以指事件或情況準確地發生以及事件或情況近似地發生。
提供形成半導體結構的實施例。半導體結構可以包括:形成閘極結構、鄰近閘極結構的源極/汲極結構、及源極/汲極結構上方的接觸件。可以在閘極結構上方形成第一導電結構,然後可以移除第一導電結構的上部。可以在接觸件及第一導電結構上形成第二導電結構。由於縮短的第一導電結構與接觸 件之間的高度差相對較小,因此可以更良好地形成第二導電結構並且可以提高半導體結構的性能。
在一些實施例中,提供半導體結構的製造方法。半導體結構的製造方法包括:形成閘極結構於基板上,且形成遮罩層覆蓋閘極結構。半導體結構的製造方法還包括:形成源極/汲極結構,鄰近於基板上的閘極結構,且形成接觸件於源極/汲極結構上。半導體結構的製造方法還包括:形成介電層於接觸件及遮罩層上,且形成第一溝槽,穿過介電層及閘極結構上的遮罩層。半導體結構的製造方法還包括;形成第一導電結構於第一溝槽中,且移除第一導電結構的上部。半導體結構的製造方法還包括:形成第二導電結構,穿過介電層且覆蓋接觸件及第一導電結構。
在一些實施例中,在移除第一導電結構的上部之後,第一導電結構的頂面低於介電層的頂面。在一些實施例中,半導體結構的製造方法更包括:形成第二溝槽,穿過介電層,其中第二溝槽露出接觸件及第一導電結構。在一些實施例中,半導體結構的製造方法更包括:部分地移除遮罩層,使第二溝槽延伸至遮罩層中。在一些實施例中,第二導電結構形成於第二溝槽中。在一些實施例中,半導體結構的製造方法更包括:形成第三導電結構於第一導電結構上的第一溝槽的上部中;以及在形成第二導電結構之前,移除第三導電結構。在一些實施例中,第二導電結構的最底部分低於遮罩層的頂面。
在一些實施例中,提供半導體結構的製造方法。半導體結構的製造方法包括:形成多個奈米結構於基板上,且形成閘極結構,包繞奈米結構。半導體結構的製造方法還包括:形成源極/汲極結構,附接至鄰近閘極結構的奈米結構,且形成接觸件,著陸於源極/汲極結構上。半導體結構的製造方法還包 括:形成介電層於接觸件及閘極結構上,且形成第一導電結構,穿過介電層且覆蓋閘極結構。半導體結構的製造方法還包括:蝕刻第一導電結構的上部,使第一導電結構的頂面變得低於介電層的頂面,且形成第二導電結構,穿過介電層以覆蓋接觸件及第一導電結構。
在一些實施例中,空隙形成於第二導電結構中。在一些實施例中,半導體結構的製造方法更包括:形成遮罩層於閘極結構上,其中遮罩層的頂面與接觸件的頂面實質上齊平。在一些實施例中,半導體結構的製造方法更包括:形成蝕刻停止層,覆蓋接觸件的頂面及遮罩層的頂面;以及形成第一溝槽,穿過介電層、蝕刻停止層及遮罩層,其中第一導電結構形成於第一溝槽中。在一些實施例中,半導體結構的製造方法,更包括:在蝕刻第一導電結構的上部之後,蝕刻介電層及蝕刻停止層,以形成露出接觸件的第二溝槽;形成導電材料於第二溝槽中;以及研磨導電材料以形成第二導電結構於第二溝槽中。在一些實施例中,半導體結構的製造方法更包括:在形成導電材料於第二溝槽中之前,移除第一導電結構的角落部分。在一些實施例中,在蝕刻第一導電結構的上部之後,第一導電結構的頂面低於蝕刻停止層的頂面。
在一些實施例中,提供半導體結構。半導體結構包括:基板、及閘極結構,形成於基板上。半導體結構還包括:遮罩層,形成於閘極結構上、及源極/汲極結構,形成於鄰近基板上的閘極結構。半導體結構還包括:接觸件,形成於源極/汲極結構上、及第一導電結構,穿過遮罩層形成且著陸於閘極結構上。半導體結構還包括:第二導電結構,覆蓋第一導電結構及接觸件。此外,第二導電結構包括夾在第一導電結構的上部與接觸件的上部之間的延伸部分。
在一些實施例中,第二導電結構的最低部分低於第一導電結構的 頂面。在一些實施例中,空隙嵌入於第二導電結構中。在一些實施例中,空隙夾在第一導電結構的上部與接觸件的上部之間。在一些實施例中,半導體結構更包括:介電層,形成於遮罩層及接觸件上,其中第二導電結構穿過介電層,且第二導電結構的延伸部分延伸至遮罩層內。在一些實施例中,半導體結構更包括:阻障層,圍繞第二導電結構的延伸部分,其中阻障層直接接觸第一導電結構、接觸件及遮罩層。
以上概述數個實施例之特徵,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程及結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神及範圍之下,做各式各樣的改變、取代及替換。
126:閘極間隔物
136:源極/汲極結構
142:閘極結構
144:界面層
146:閘極介電層
148:閘極電極層
150:蓋層
152:遮罩層
154:矽化物層
156:接觸件
158:襯層
160:阻障層
162:蝕刻停止層
164:介電層
171:導電結構
174:導電材料
176:空隙

Claims (14)

  1. 一種半導體結構的製造方法,包括:形成一閘極結構於一基板上;形成一遮罩層,覆蓋該閘極結構;形成一源極/汲極結構,鄰近於該基板上的該閘極結構;形成一接觸件於該源極/汲極結構上;形成一介電層於該接觸件及該遮罩層上;形成一第一溝槽,穿過該介電層及該閘極結構上的該遮罩層;形成一第一導電結構於該第一溝槽中;移除該第一導電結構的一上部;以及形成一第二導電結構,穿過該介電層且覆蓋該接觸件及該第一導電結構。
  2. 如請求項1之半導體結構的製造方法,其中在移除該第一導電結構的該上部之後,該第一導電結構的一頂面低於該介電層的一頂面。
  3. 如請求項1或2之半導體結構的製造方法,更包括:形成一第二溝槽,穿過該介電層,其中該第二溝槽露出該接觸件及該第一導電結構。
  4. 如請求項3之半導體結構的製造方法,更包括:部分地移除該遮罩層,使該第二溝槽延伸至該遮罩層中,其中該第二導電結構形成於該第二溝槽中。
  5. 如請求項1或2之半導體結構的製造方法,更包括:形成一第三導電結構於該第一導電結構上的該第一溝槽的一上部中;以及在形成該第二導電結構之前,移除該第三導電結構。
  6. 一種半導體結構的製造方法,包括:形成多個奈米結構於一基板上;形成一閘極結構,包繞該些奈米結構;形成一源極/汲極結構,附接至鄰近該閘極結構的該些奈米結構;形成一接觸件,著陸於該源極/汲極結構上;形成一介電層於該接觸件及該閘極結構上;形成一第一導電結構,穿過該介電層且覆蓋該閘極結構;蝕刻該第一導電結構的一上部,使該第一導電結構的一頂面低於該介電層的一頂面;以及形成一第二導電結構,穿過該介電層以覆蓋該接觸件及該第一導電結構。
  7. 如請求項6之半導體結構的製造方法,其中一空隙形成於該第二導電結構中。
  8. 如請求項6或7之半導體結構的製造方法,更包括:形成一遮罩層於該閘極結構上,其中該遮罩層的一頂面與該接觸件的一頂面實質上齊平。
  9. 如請求項8之半導體結構的製造方法,更包括:形成一蝕刻停止層,覆蓋該接觸件的該頂面及該遮罩層的該頂面;以及形成一第一溝槽,穿過該介電層、該蝕刻停止層及該遮罩層,其中該第一導電結構形成於該第一溝槽中。
  10. 如請求項9之半導體結構的製造方法,更包括:在蝕刻該第一導電結構的該上部之後,蝕刻該介電層及該蝕刻停止層,以形成露出該接觸件的一第二溝槽; 形成一導電材料於該第二溝槽中,在形成該導電材料於該第二溝槽中之前,移除該第一導電結構的一角落部分;以及研磨該導電材料以形成該第二導電結構於該第二溝槽中。
  11. 一種半導體結構,包括:一基板;一閘極結構,形成於該基板上;一遮罩層,形成於該閘極結構上;一源極/汲極結構,形成於鄰近該基板上的該閘極結構;一接觸件,形成於該源極/汲極結構上;一第一導電結構,穿過該遮罩層形成且著陸於該閘極結構上;以及一第二導電結構,覆蓋該第一導電結構及該接觸件,其中該第二導電結構包括夾在該第一導電結構的一上部與該接觸件的一上部之間的一延伸部分。
  12. 如請求項11之半導體結構,其中該第二導電結構的最低部分低於該第一導電結構的一頂面。
  13. 如請求項11或12之半導體結構,其中一空隙嵌入於該第二導電結構中,其中該空隙夾在該第一導電結構的該上部與該接觸件的該上部之間。
  14. 如請求項11或12之半導體結構,更包括:一阻障層,圍繞該第二導電結構的該延伸部分,其中該阻障層直接接觸該第一導電結構、該接觸件及該遮罩層。
TW110133012A 2021-03-09 2021-09-06 半導體結構及其製造方法 TWI807406B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163158489P 2021-03-09 2021-03-09
US63/158,489 2021-03-09
US17/325,419 US11527614B2 (en) 2021-03-09 2021-05-20 Semiconductor structure with conductive structure and method for manufacturing the same
US17/325,419 2021-05-20

Publications (2)

Publication Number Publication Date
TW202249180A TW202249180A (zh) 2022-12-16
TWI807406B true TWI807406B (zh) 2023-07-01

Family

ID=83005153

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110133012A TWI807406B (zh) 2021-03-09 2021-09-06 半導體結構及其製造方法

Country Status (5)

Country Link
US (2) US11527614B2 (zh)
KR (1) KR102661684B1 (zh)
CN (1) CN115050647A (zh)
DE (1) DE102021113551A1 (zh)
TW (1) TWI807406B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11527614B2 (en) * 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
EP4191667A1 (en) 2021-12-03 2023-06-07 LG Electronics, Inc. Layered light emitting element and display device using the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202013522A (zh) * 2018-07-16 2020-04-01 台灣積體電路製造股份有限公司 多閘極半導體裝置的製作方法
TW202020988A (zh) * 2018-09-28 2020-06-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US20200357930A1 (en) * 2019-05-07 2020-11-12 Intel Corporation Gate-all-around integrated circuit structures having nanowires with tight vertical spacing
US20200411661A1 (en) * 2019-06-27 2020-12-31 Intel Corporation Depop using cyclic selective spacer etch

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9349812B2 (en) * 2013-05-27 2016-05-24 United Microelectronics Corp. Semiconductor device with self-aligned contact and method of manufacturing the same
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9935051B2 (en) 2016-08-18 2018-04-03 International Business Machines Corporation Multi-level metallization interconnect structure
KR102575420B1 (ko) 2016-10-05 2023-09-06 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9837414B1 (en) * 2016-10-31 2017-12-05 International Business Machines Corporation Stacked complementary FETs featuring vertically stacked horizontal nanowires
US9847390B1 (en) 2017-02-16 2017-12-19 Globalfoundries Inc. Self-aligned wrap-around contacts for nanosheet devices
KR102336827B1 (ko) * 2017-06-08 2021-12-09 삼성전자주식회사 반도체 장치
US10157790B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11411095B2 (en) * 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10770388B2 (en) * 2018-06-15 2020-09-08 International Business Machines Corporation Transistor with recessed cross couple for gate contact over active region integration
US10797161B2 (en) * 2018-08-14 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure using selective forming process
US11024550B2 (en) * 2018-08-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11616053B2 (en) * 2018-09-05 2023-03-28 Tokyo Electron Limited Method to vertically route a logic cell incorporating stacked transistors in a three dimensional logic device
US10756175B2 (en) 2018-09-18 2020-08-25 International Business Machines Corporation Inner spacer formation and contact resistance reduction in nanosheet transistors
US10714329B2 (en) 2018-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-clean for contacts
US10832943B2 (en) 2019-04-02 2020-11-10 International Business Machines Corporation Gate contact over active region with self-aligned source/drain contact
KR20210012084A (ko) * 2019-07-23 2021-02-03 삼성전자주식회사 반도체 장치
US11114529B2 (en) * 2019-08-23 2021-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around field-effect transistor device
US11784091B2 (en) 2019-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of chip package with fan-out feature
KR20220090672A (ko) * 2020-12-22 2022-06-30 삼성전자주식회사 반도체 소자
US11527614B2 (en) * 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202013522A (zh) * 2018-07-16 2020-04-01 台灣積體電路製造股份有限公司 多閘極半導體裝置的製作方法
TW202020988A (zh) * 2018-09-28 2020-06-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US20200357930A1 (en) * 2019-05-07 2020-11-12 Intel Corporation Gate-all-around integrated circuit structures having nanowires with tight vertical spacing
US20200411661A1 (en) * 2019-06-27 2020-12-31 Intel Corporation Depop using cyclic selective spacer etch

Also Published As

Publication number Publication date
DE102021113551A1 (de) 2022-09-15
CN115050647A (zh) 2022-09-13
US20230049010A1 (en) 2023-02-16
KR20220126612A (ko) 2022-09-16
KR102661684B1 (ko) 2024-04-26
TW202249180A (zh) 2022-12-16
US11961886B2 (en) 2024-04-16
US20220293732A1 (en) 2022-09-15
US11527614B2 (en) 2022-12-13

Similar Documents

Publication Publication Date Title
CN111863810A (zh) 半导体装置结构及其形成方法
CN112420614A (zh) 半导体器件及其形成方法
US11735666B2 (en) Gate all around structure with additional silicon layer and method for forming the same
US20220173213A1 (en) Semiconductor structure with hybrid nanostructures
US11961886B2 (en) Semiconductor structure with conductive structure
TW202119639A (zh) 半導體元件結構及其形成方法
TW201926699A (zh) 半導體元件
US20220336449A1 (en) Semiconductor device structure and methods of forming the same
US11444200B2 (en) Semiconductor structure with isolating feature and method for forming the same
US20230402546A1 (en) Semiconductor structure and method for forming the same
TW202125832A (zh) 半導體裝置
US20220359757A1 (en) Semiconductor structure with isolating feature
US20230335469A1 (en) Semiconductor structure with conductive structure and method for manufacturing the same
US20230378260A1 (en) Semiconductor structure with conductive structure and method for manufacturing the same
US20230387200A1 (en) Semiconductor structure and method for manufacturing the same
US20240055479A1 (en) Semiconductor and method for manufacturing the same
US20240162310A1 (en) Semiconductor structure and method for forming the same
US20240162336A1 (en) Semiconductor structure and method for forming the same
US20240105805A1 (en) Semiconductor structure with dielectric wall structure and method for manufacturing the same
TWI832453B (zh) 半導體結構及其製造方法
US20240079447A1 (en) Semiconductor structure and method for forming the same
US20240055481A1 (en) Semiconductor structure and method for forming the same
US20240079500A1 (en) Semiconductor structure and method for forming the same
US20230047194A1 (en) Semiconductor structure with isolation feature and method for manufacturing the same
US20240006479A1 (en) Semiconductor structure and method for manufacturing the same