TWI832453B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI832453B
TWI832453B TW111136940A TW111136940A TWI832453B TW I832453 B TWI832453 B TW I832453B TW 111136940 A TW111136940 A TW 111136940A TW 111136940 A TW111136940 A TW 111136940A TW I832453 B TWI832453 B TW I832453B
Authority
TW
Taiwan
Prior art keywords
gate
layer
semiconductor
spacer
modified
Prior art date
Application number
TW111136940A
Other languages
English (en)
Other versions
TW202329255A (zh
Inventor
彭裕鈞
許昶誌
吳鉉智
張正忠
陳書涵
曹修豪
李旻珈
簡塏旻
溫明璋
游國豐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202329255A publication Critical patent/TW202329255A/zh
Application granted granted Critical
Publication of TWI832453B publication Critical patent/TWI832453B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

提供半導體結構及其製造方法。半導體結構的製造方法包括形成從基板突出的鰭結構,鰭結構包括交替堆疊的多個第一半導體材料層和多個第二半導體材料層。方法還包括形成橫跨鰭結構的虛置閘極結構以及在虛置閘極結構的側壁上形成閘極間隔物。方法還包括部分氧化閘極間隔物,以形成氧化層,且移除氧化層,以形成修改的閘極間隔物。方法還包括移除第一半導體材料層以形成多個間隙, 並在間隙中形成閘極結構,以環繞第二半導體材料層且在第二半導體材料層上方,以覆蓋修改的閘極間隔物。

Description

半導體結構及其製造方法
本揭露是關於半導體結構及其製造方法,特別是關於多閘極裝置的閘極溝槽及修改閘極溝槽輪廓的方法。
電子工業已經歷對更小且更快電子裝置的不斷增加之需求,前述電子裝置同時能支援更大量更複雜和精密之功能。為了滿足這些需求,在積體電路(IC)工業中持續趨向低製造成本、高效能及低功率積體電路。迄今,這些目的大部分已藉由減小積體電路尺寸(例如,最小積體電路部件尺寸)達成,因而改良生產效率並降低相關成本。然而,這種微縮亦已增加積體電路製程的複雜性。因此,實現積體電路裝置及其性能之持續進步需要在積體電路製程及技術中之相似進步。
近期,已經引入了多閘極裝置,以通過增加閘極-通道耦合、減少關閉狀態電流和減少短通道效應(SCE)來改善閘極控制。已經引入的一種這樣的多閘極裝置是全繞式閘極電晶體(GAA)。全繞式閘極電晶體裝置得名於閘極結構,上述閘極結構可在通道區域周圍延伸,從而可在堆疊通道層的四個側面存取。全繞式閘極電晶體裝置與傳統的互補式金屬氧化物半導體(CMOS)製程兼 容,並且其結構允許其在保持閘極控制和減輕短通道效應的同時積極按比例縮小。然而,全繞式閘極電晶體部件的製造整合可能具有挑戰性。
本揭露一些實施例提供一種半導體結構的製造方法,方法包括形成從基板突出的鰭結構,其中鰭結構包括交替堆疊的多個第一半導體材料層和多個第二半導體材料層;形成橫跨鰭結構的虛置閘極結構;在虛置閘極結構的側壁上形成閘極間隔物;部分氧化閘極間隔物,以形成氧化層;移除氧化層,以形成修改的閘極間隔物;移除第一半導體材料層以形成多個間隙;以及在間隙中形成閘極結構,以環繞第二半導體材料層且在第二半導體材料層上方,以覆蓋修改的閘極間隔物。
本揭露另一些實施例提供一種半導體結構的製造方法,方法包括交替堆疊多個第一半導體材料層和多個第二半導體材料層以在基板上方形成半導體堆疊;圖案化半導體堆疊以形成鰭結構;形成橫跨鰭結構的虛置閘極結構,其中虛置閘極結構包括虛置氧化層和虛置閘電極層;在虛置閘極結構的多個側壁上形成多個閘極間隔物;移除虛置閘電極層的上部以形成溝槽;從溝槽蝕刻閘極間隔物;氧化閘極間隔物以形成氧化層;移除氧化層;移除第一半導體材料層;以及形成環繞第二半導體材料層的閘極結構。
本揭露又一些實施例提供一種半導體結構,半導體結構包括基板、多個通道層、閘極結構、閘極間隔物以及遮罩結構;通道層形成在基板上方;閘極結構環繞通道層;閘極間隔物形成在通道層的最頂層上方;遮罩結構形成在閘極結構上方,其中閘極間隔物具有與閘極結構和遮罩結構兩者接觸的 彎曲側壁。
100,100a,100b,100c,100d,100e,100f,100g,100h,100i,100k:半導體結構
102:基板
104,104k:鰭結構
104B:基鰭結構
106:第一半導體材料層
108,108c,108d:第二半導體材料層
108',108'c,108'd:通道層
110:遮罩結構
112:墊氧化層
114:氮化層
116:隔離結構
118,118',118'e,118i,118'i:虛置閘極結構
120,120'c,120'd:虛置閘極介電層
122,122',122'e:虛置閘電極層
124:硬遮罩層
126,126’,126”,126”a,126”b,126'e,126”e,126”f,126”h,126i,126'i,126”i:閘極間隔物
128,128’:第一間隔層
129,129’:第二間隔層
130,136j:源/汲極凹陷
132:凹槽
134:內間隔物
136,136k:源/汲極結構
138:第一部分
140:第二部分
142:第三部分
144,144',144'a,144'b,144'e,144'f,144h,144'h,144i,144'i:接觸蝕刻停止層
146,146'h,146i:層間介電層
148,148',148'e,148'f,148h,148'h,148i,148'i:遮罩結構
150,150',150'e,150i,150'i:溝槽
152,152h:蝕刻製程
154,154',154e,154'e,154f,154'f,154g,154h,154'h,154i,154'i:閘極溝槽
156,156c,156d:氧化處理
158,158e,158f,158h,158i:氧化層
160:間隙
162,162h,162i:閘極堆疊
162',162'b,162"c,162'd,162'e,162'f,162'h,162'i:閘極結構
164,164c,164d:界面層
166:閘極介電層
168:閘電極層
170,170b:凹陷
172,172b,172e,172f:覆蓋層
174,174a,174b,174e,174f:遮罩結構
180:矽化物層
182:接觸
A-A',B-B':切線
D1:最大距離
H1,H2,H3,H4:高度
T1:厚度
W1:頂部寬度
由以下的詳細敘述配合所附圖式,可更加理解本揭露實施例的觀點。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,為了討論的清晰,可任意放大或縮小各種特徵的尺寸。
第1A至1E圖顯示製造根據一些實施例的半導體結構的中間階段的透視圖。
第2A至2O圖顯示製造沿第1E圖中的切線A-A'所示的根據一些實施例的半導體結構的各個階段的剖面圖。
第2O-1圖顯示半導體結構的俯視示意圖。
第2O-2圖是沿第2O-1圖的切線B-B'所示的根據一些實施例的半導體結構的剖面圖。
第3A和3B圖顯示製造根據一些實施例的半導體結構的中間階段。
第4A和4B圖顯示製造根據一些實施例的半導體結構的中間階段。
第5A至5C圖顯示製造根據一些實施例的半導體結構的中間階段。
第6A至6C圖顯示製造根據一些實施例的半導體結構的中間階段。
第7A至7F圖顯示製造根據一些實施例的半導體結構的中間階段。
第8A至8D圖顯示製造根據一些實施例的半導體結構的中間階段。
第9A和9B圖顯示製造根據一些實施例的半導體結構的中間階段。
第10A至10F圖顯示製造根據一些實施例的半導體結構的中間階段。
第11A至11G圖顯示製造根據一些實施例的半導體結構的中間階段。
第12圖顯示根據一些實施例的半導體結構的剖面圖。
第13圖顯示根據一些實施例的半導體結構的剖面圖。
以下的揭露內容提供了許多不同實施例或範例,以便實施本揭露的不同部件。此外,下文描述了組件及排列之特定實例以簡化本揭露。當然,此些範例僅為示例而非侷限本揭露。舉例來說,在若是說明書敘述一第一部件形成於一第二部件上方或之上,即表示其可能包含上述第一部件與上述第二部件是直接接觸的實施例,亦可能包含了有額外部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與第二部件可能未直接接觸的實施例。此外,本揭露可在各種實施例中重複元件符號及/或字母。這種重複是為了簡單和清楚的目的,並且其本身並不規定所討論的各種實施例及/或配置之間的關係。
說明書描述了實施例的一些變化。在各個視圖和說明性實施例中,相似的元件符號用於表示相似的元件。應該理解的是,可在上述方法之前、期間和之後提供額外的操作,並且對於上述方法的其他實施例可替換或消除所描述的一些操作。
可通過任何合適的方來圖案化下述的奈米結構電晶體(例如奈米片電晶體、奈米線電晶體、多橋通道電晶體、奈米帶場效電晶體和全繞式閘極(GAA)電晶體)。
提供了半導體結構及其形成方法的實施例。形成半導體結構的方法可包括形成虛置閘極結構和在虛置閘極結構的側壁上的閘極間隔物。之後,可移除虛置閘極結構以形成閘極溝槽,並且可修改閘極溝槽的形狀。由於可在修改的閘極溝槽中形成閘極結構,所以可減少或防止在閘極結構內形成空隙及/ 或接縫。因此,可提高所得半導體結構的性能。
第1A至1E圖顯示根據一些實施例的製造半導體結構100的中間階段的透視圖。第2A至2O圖顯示製造沿第1E圖中的切線A-A'所示的根據一些實施例的半導體結構的各個階段的剖面圖。更具體地說,根據一些實施例,第2A圖顯示沿第1E圖中的切線A-A'所示的剖面圖,且第2B至2O圖顯示之後的製程。
如第1A圖所示,根據一些實施例,在基板102上方形成第一半導體材料層106和第二半導體材料層108。基板102可是半導體晶片,例如矽晶片。替代地或附加地,基板102可包括元素半導體材料、化合物半導體材料及/或合金半導體材料。元素半導體材料可包括但不限於結晶矽、多晶矽、非晶矽、鍺及/或鑽石。化合物半導體材料可包括但不限於碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦。合金半導體材料可包括但不限於矽鍺(SiGe)、磷化鎵砷(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)及/或磷化鎵銦砷(GaInAsP)。
在一些實施例中,第一半導體材料層106和第二半導體材料層108交替堆疊以在基板102上方形成半導體材料堆疊。在一些實施例中,第一半導體材料層106和第二半導體材料層108由不同的半導體材料製成。在一些實施例中,第一半導體材料層106由矽鍺(SiGe)製成,第二半導體材料層108由矽製成。需注意的是,雖然形成了三層第一半導體材料層106和三層第二半導體材料層108,但是半導體結構可包括更多或更少數量的第一半導體材料層106和第二半導體材料層108。舉例來說,半導體結構可各別包括兩層至五層的第一半導體材料層106和第二半導體材料層。
第一半導體材料層106和第二半導體材料層108可通過使用低壓 化學氣相沉積(LPCVD)、磊晶成長製程、另一合適方法或上述之組合來形成。在一些實施例中,磊晶成長製程包括分子束磊晶(MBE)、金屬有機化學氣相沉積(MOCVD)或氣相磊晶(VPE)。
根據一些實施例,在基板102上方形成半導體材料疊層之後,圖案化半導體材料疊層以形成鰭結構104(例如沿X方向延伸),如第1B圖所示。在一些實施例中,鰭結構104包括基鰭結構104B以及第一半導體材料層106和第二半導體材料層108的半導體材料疊層。舉例來說,可被使用一種或多種微影製程,包括雙重圖案或多重圖案製程圖案化鰭結構,例如鰭結構104。通常,雙重圖案或多重圖案製程結合了微影製程和自對準製程,從而允許產生具有例如比使用單次、直接的微影製程可獲得的間距更小的間距的圖案。例如,在一個實施例中,犧牲層形成在基板上方並使用微影製程圖案化。使用自對準製程在圖案化犧牲層旁邊形成間隔物。然後移除犧牲層,然後可使用剩餘的間隔物來蝕刻基板以形成鰭結構。
在半導體材料堆疊上方形成遮罩結構110,並通過遮罩結構110蝕刻半導體材料堆疊和下面的基板102。在一些實施例中,遮罩結構110是包括墊氧化層112和形成在墊氧化層112上方的氮化層114的多層結構。墊氧化層112可由通過熱氧化或化學氣相沉積形成的氧化矽製成。氮化層114可由氮化矽製成,其由化學氣相沉積形成,例如化學氣相沉積或電漿輔助化學氣相沉積(PECVD)。
根據一些實施例,形成鰭結構104之後,在鰭結構104周圍形成隔離結構116,並移除遮罩結構110,如第1C圖所示。根據一些實施例,隔離結構116配置為電性隔離半導體的主動區(例如鰭結構104),並且也被稱為淺溝槽隔離 (STI)部件。
可通過在基板102上方沉積絕緣層、平坦化絕緣層和凹陷平坦化的絕緣層以使得鰭結構104從隔離結構116突出來形成隔離結構116。在一些實施例中,隔離結構116由氧化矽、氮化矽、氮氧化矽(SiON)、其他合適的絕緣材料或上述之組合製成。可在形成隔離結構116之前形成單層或多層介電襯墊層(圖未顯示)。在一些實施例中,介電襯墊層由氮化矽製成並且形成在介電襯墊層上方的隔離結構由氧化矽製成。
根據一些實施例,在形成隔離結構116之後,虛置閘極結構118橫跨鰭結構104形成並在隔離結構116上方(即沿Y方向)延伸,如第1D圖所示。虛置閘極結構118可用於定義所得半導體結構100的源/汲極區和通道區。
在一些實施例中,每個虛置閘極結構118包括虛置閘極介電層120和虛置閘電極層122。在一些實施例中,虛置閘極介電層120由一種或多種介電材料製成,例如氧化矽、氮化矽、氧氮化矽(SiON)、二氧化鉿(HfO2)、氧化鉿鋯(HfZrO)、氧化鉿矽(HfSiO)、氧化鉿鈦(HfTiO)、氧化鉿鋁(HfAlO)或上述之組合。在一些實施例中,虛置閘極介電層120使用熱氧化、化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、另一合適的方法或上述之組合形成。
在一些實施例中,虛置閘電極層122是由導電材料製成。在一些實施例中,導電材料包括多晶矽(poly-Si)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬或上述之組合。在一些實施例中,虛置閘電極層122使用化學氣相沉積(CVD)、物理氣相沉積(PVD)或上述之組合形成。
在一些實施例中,硬遮罩層124形成在虛置閘極結構118上方。在一些實施例中,硬遮罩層124包括多層,例如氧化層和氮化層。在一些實施例中, 氧化層為氧化矽,而氮化層為氮化矽。
虛置閘極結構118的形成可包括共形地形成介電質材料作為虛置閘極介電質層120。之後,可在介電材料上形成導電材料,例如多晶矽,作為虛置閘電極層122,並且可在導電材料上形成硬遮罩層124。接著,可通過硬遮罩層124圖案化介電材料和導電材料以形成虛置閘極結構118。
根據一些實施例,在形成虛置閘極結構118之後,將在虛置閘極結構118和鰭結構104的頂面和側壁表面上方以及隔離結構116的頂面上方共形地沉積間隔層,如第1E和2A圖所示。
在一些實施例中,間隔層包括第一間隔層128和第二間隔層129。在一些其他實施例中,僅形成一層間隔層。
在一些實施例中,第一間隔層128和第二間隔層129由選自二氧化矽(SiO2)、氮化矽(SiN)、碳化矽(SiC)、氮氧化矽(SiON)、氮碳化矽(SiCN)、氮碳氧化矽(SiOCN)或上述之組合的不同介電材料製成。在一些實施例中,第一間隔層128和第二間隔層129由不同的氮化物基介電材料製成。在一些實施例中,第二間隔層129的介電常數(k)低於第一間隔層128的介電常數(k)。在一些其他實施例中,第一間隔層128和第二間隔層129由相同的介電材料製成,例如氮化矽(SiN)。
接著,根據一些實施例,圖案化第一間隔層128和第二間隔層129以形成閘極間隔物126,並在鰭結構104的源/汲極區凹陷形成源/汲極凹陷130,如第2B圖所示。閘極間隔物126可被配置為在移除虛置閘極結構118之後定義閘極溝槽。閘極間隔物126的形成可包括執行異向性蝕刻製程,例如乾電漿蝕刻,以移除覆蓋虛置閘極結構118、鰭結構104和部分隔離結構116的頂面的第一介電 材料和第二介電材料。
在一些實施例中,每一個第一間隔物128具有沿虛置閘極結構118的側壁延伸的第一部分和形成在第二半導體材料層108的最頂層的頂面上(例如橫向延伸)的第二部分。在一些實施例中,第一間隔層128的第一部分和第二部分在剖面圖中形成L形,如第2A圖所示。在一些實施例中,第二間隔層129位於第一間隔層128的第二部分的垂直上方。在一些實施例中,閘極間隔層126的厚度T1(即第一間隔層128和第二間隔層129的總厚度)在從約1nm至約10nm的範圍內。閘極間隔物126應該足夠厚以在之後進行的閘極替換製程期間保持閘極溝槽的完整性。另一方面,閘極間隔物126不應太厚,否則可能會增加裝置尺寸。在一些實施例中,第二間隔層129比第一間隔層128厚。在一些其他實施例中,第一間隔層128比第二間隔層129厚或具有相同的厚度。
接下來,根據一些實施例,蝕刻未被虛置閘極結構118和閘極間隔物126覆蓋的第一半導體材料層106和第二半導體材料層108。此外,根據一些實施例,也凹陷基鰭結構104B的一些部分。
在一些實施例中,通過執行蝕刻製程而凹陷鰭結構104。蝕刻製程可是異向性蝕刻製程,例如乾電漿蝕刻,以及虛置閘極結構118和閘極間隔物126在蝕刻製程期間作為蝕刻遮罩。在一些實施例中,源/汲極凹陷130的底面低於隔離結構116的頂面。
根據一些實施例,在形成源/汲極凹陷130之後,橫向凹陷被源/汲極凹陷130暴露第一半導體材料層106以形成凹槽132,如第2C圖所示。在一些實施例中,執行蝕刻製程,以從源/汲極凹陷130橫向凹陷鰭結構104的第一半導體材料層106。在一些實施例中,在蝕刻製程中,第一半導體材料層106具有比 第二半導體材料層108更大的蝕刻速率(例如蝕刻量),從而在相鄰的第二半導體材料層108之間形成凹槽132。在一些實施例中,在形成凹槽132時,也部分蝕刻第二半導體材料層108被源/汲極凹陷130暴露的部分,因此凹槽132的深度從靠近源/汲極區的一側到靠近通道區的一側逐漸減小。在一些實施例中,蝕刻製程包括乾化學蝕刻、遠端電漿蝕刻、濕蝕刻、其他適用技術及/或上述之組合。
根據一些實施例,接著,在在第二半導體材料層108之的凹槽132中形成內間隔物134,如第2D圖所示。根據一些實施例,內間隔物134配置為分離後續製造製程中形成的源/汲極結構和閘極結構。在一些實施例中,內間隔物134具有面向通道區的彎曲(例如圓角化)側壁。在一些實施例中,每個內間隔物134的厚度朝向通道區連續減小。在一些實施例中,每個內間隔物134在靠近源/汲極區的一側較厚,而在靠近通道區的一側較薄。
可通過在凹槽132中保形地形成介電材料層並覆蓋源/汲極凹陷130和閘極間隔物126的側壁並蝕刻介電材料層以移除凹槽132外部的介電材料層來形成內間隔物134。在一些實施例中,內間隔物134由介電材料製成,例如氧化矽(SiO2)、氮化矽(SiN)、碳化矽(SiC)、氧氮化矽(SiON)、氮碳化矽(SiCN)、氮碳氧化矽(SiOCN)、或上述之組合。
根據一些實施例,在形成內間隔物134之後,在源/汲極凹陷130中形成源/汲極結構136,如第2E圖所示。在一些實施例中,每個源/汲極結構136包括第一部分138、第二部分140和第三部分142。
源/汲極結構136的第一部分138可用作阻擋層以防止背面漏電。在一些實施例中,第一部分138形成在源/汲極凹陷130的底部區域中。在一些實施例中,源/汲極結構136的第一部分138的最上表面低於第一半導體材料層106 和第二半導體材料層108的最底層。在一些實施例中,源/汲極結構136的第一部分138在中間部分處較厚並且具有凸頂面。
在一些實施例中,源/汲極結構136的第一部分138由未摻雜的半導體材料製成,例如未摻雜的矽(Si)或未摻雜的矽鍺(SiGe)。在一些實施例中,源/汲極結構136的第一部分138通過執行磊晶成長製程。磊晶成長製程可是分子束磊晶(MBE)製程、金屬有機化學氣相沉積(MOCVD)製程、氣相磊晶(VPE)製程或其他適用的技術。在執行磊晶成長製程之後,可執行蝕刻製程以形成具有設計厚度的源/汲極結構136的第一部分138。
根據一些實施例,在形成第一部分138之後,形成第二部分140和第三部分142以填充源/汲極凹陷130,如第2E圖所示。更具體地,根據一些實施例,第二部分140形成為覆蓋第一部分138的頂面並且形成在第二半導體材料層108的暴露的側壁上。根據一些實施例,然後在第二部分140上方和周圍形成第三部分142以填充源/汲極凹陷130。
在一些實施例中,第二部分140包括從第二半導體材料層108的每個暴露的側壁成長的分離部分和在第一部分138上方成長的底部。在一些實施例中,第二部分140的底部直接接觸第一部分138、基鰭結構104B和內間隔物134。在一些實施例中,第二部分140的底部的頂面不平坦,並且具有稍高的中間部分。在一些實施例中,第三部分142形成在第二部分140周圍的源/汲極凹陷130中。在一些實施例中,第三部分142與內間隔物134直接接觸。在一些實施例中,源/汲極結構136的第二部分140和第三部分142均採用磊晶成長製程形成,例如分子束磊晶(MBE)、金屬有機化學氣相沉積(MOCVD)、氣相磊晶(VPE)、其他適用的磊晶成長製程或上述之組合。
在一些實施例中,第二部分140和第三部分142由矽、鍺、矽鍺(SiGe)、砷化鋁鎵(AlGaAs)、磷化鎵砷(GaAsP)、磷化矽(SiP)、碳化矽(SiC)、碳化磷矽(SiPC)、砷化銦(InAs)、砷化銦鎵(InGaAs)、銻化銦(InSb)、砷化鎵(GaAs)、銻化鎵(GaSb)、磷化銦鋁(InAlP)、磷化銦(InP)或上述之組合製成。在一些實施例中,源/汲極結構136的第二部分140和第三部分142在磊晶成長製程期間被原位摻雜。在一些實施例中,第二部分140和第三部分142由具有相同摻質但具有不同摻質濃度的相同半導體材料製成。在一些實施例中,第三部分142中的摻質濃度大於第二部分140中的摻質濃度。在一些實施例中,源/汲極結構136的第二部分140和第三部分142包括摻雜硼(B)的磊晶成長的矽鍺(SiGe),且第三部分142中的硼濃度高於第二部分140中的硼濃度。在一些其他實施例中,源/汲極結構136的第二部分140和第三部分142包括摻雜碳的磊晶成長矽(Si)以形成矽:碳(Si:C)源/汲極部件,摻雜磷的磊晶成長矽(Si)以形成矽:磷(Si:P)源/汲極部件,或摻雜碳和磷兩者的磊晶成長矽(Si)以形成碳磷化矽(SiCP)源/汲極部件。在一些實施例中,第一部分138、第二部分140和第三部分142由相同的半導體材料製成,但是第二部分140和第三部分142摻雜有摻質,而第一部分138沒有摻雜。
根據一些實施例,在形成源/汲極結構136之後,共形地形成接觸蝕刻停止層(CESL)144以覆蓋源/汲極結構136,如第2E圖所示。在一些實施例中,在形成接觸蝕刻停止層144之前對源/汲極結構136的頂面執行清潔製程,使得源/汲極結構136的頂部部分凹陷。在一些實施例中,源/汲極結構136具有凹形頂面。根據一些實施例,然後在源/汲極結構136的凹形頂面上方和閘極間隔物126的側壁上方形成接觸蝕刻停止層144。
在一些實施例中,接觸蝕刻停止層144由介電材料製成,例如氮 化矽、氧化矽、氮氧化矽、其他適用的介電材料或上述之組合。用於接觸蝕刻停止層144的介電材料可通過執行化學氣相沉積(CVD)、原子層沉積(ALD)、其他適用的方法或上述之組合而共形沉積在半導體結構上方。
根據一些實施例,在接觸蝕刻停止層144上方形成層間介電(ILD)層146,如第2E圖所示。層間介電層146可包括由多種介電材料製成的多層,例如氧化矽、氮化矽、氮氧化矽、磷矽玻璃(PSG)、硼磷矽玻璃(BPSG)及/或其他適用的低k介電材料。可通過化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其他適用的製程形成層間介電層146。
根據一些實施例,在沉積接觸蝕刻停止層144和層間介電層146之後,可執行例如化學機械研磨(CMP)的平坦化製程或回蝕刻製程,直到虛置閘極結構118的閘電極層122暴露出來為止。之後,可移除層間介電層146的頂部以形成凹陷,並且可在凹陷中和接觸蝕刻停止層144、虛置閘極結構118和閘極間隔物126上方形成遮罩材料層。根據一些實施例,然後研磨遮罩材料層以在層間介電層146上方的凹陷中形成遮罩結構148,如第2E圖所示。在一些實施例中,執行蝕刻製程,以選擇性蝕刻層間介電層146以形成凹陷,而在蝕刻製程期間實質上未蝕刻接觸蝕刻停止層144、虛置閘極結構118和閘極間隔物126。意即,可以自對準方式形成凹陷,無需使用額外的遮罩結構。在一些實施例中,遮罩結構148由與用於形成層間介電層146的介電材料不同的介電材料製成。在一些實施例中,遮罩結構148由氮化矽(SiN)、碳氮化矽(SiCN)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)、二氧化鉿(HfO2)、二氧化鋯(ZrO2)、氧化鋁鉿(HfAlOx)、氧化鉿矽(HfSiOx)、氧化鋁(Al2O3)等製成。在一些實施例中,遮罩結構148由氮化物製成並且層間介電層146由氧化物製成。在一些實施例中,遮罩結構148和接觸蝕 刻停止層144由相同的材料(例如氮化物)製成。在一些實施例中,遮罩結構148和接觸蝕刻停止層144由不同材料製成。遮罩結構148和接觸蝕刻停止層144可用於在後續蝕刻製程中保護下方的結構。
根據一些實施例,接著,凹陷虛置閘極結構118以在縮短的虛置閘極結構118'上方形成溝槽150,如第2F圖所示。更具體地,根據一些實施例,凹陷虛置閘電極層122以形成縮短的虛置閘電極層122',並且溝槽150暴露閘極間隔物126的側壁的上部。在一些實施例中,縮短的虛置閘電極層122'的頂面低於遮罩結構148的底面。在本揭露的實施例中,縮短的虛置閘極結構118'的高度H2小於原始虛置閘極結構118在通道區的高度H1。一般來說,當形成替代虛置閘極結構的功能性閘極堆疊時,高度H1和高度H2之間的較大差異可增加製程窗口。意即,高度H1之間和高度H2的較大差異可減少功能性閘極堆疊中的空隙或接縫。在某些情況下,高度H2對高度H1的比值可在約0.4和0.9之間。這個比值不是微不足道的。當上述比值小於0.4時,虛置閘極縮短製程更可能損壞遮罩結構148和接觸蝕刻停止層144。當上述比值大於0.9時,功能閘極堆疊中出現空洞或接縫的可能性可能仍然太高而無法證明額外的虛置閘極縮短製程是合理的。
可通過執行蝕刻製程凹陷虛置閘極結構118。在一些實施例中,蝕刻製程包括乾化學蝕刻、遠端電漿蝕刻(remote plasma etching)、濕蝕刻、其他適用技術及/或上述之組合。在一些實施例中,蝕刻製程中使用的蝕刻劑可包括氫氧化銨(NH4OH)。可通過控制執行蝕刻製程的時間來調整縮短的虛置閘極結構118'的高度。
根據一些實施例,接著,部分移除閘極間隔物126以形成縮短的閘極間隔物126',從而形成擴大的溝槽150',如第2G圖所示。更具體而言,根據 一些實施例,執行蝕刻製程152以蝕刻由溝槽150暴露的閘極間隔物126的部分。在蝕刻製程152期間,接觸蝕刻停止層144和閘極間隔物126之間的蝕刻選擇性可能相對較高,因此接觸蝕刻停止層144可能不被蝕刻或僅被輕微蝕刻。在一些實施例中,接觸蝕刻停止層144具有被擴大的溝槽150'暴露的實質上直的側壁。此外,由於閘極間隔物126的底部側壁被縮短的虛置閘極結構118'覆蓋,閘極間隔物126的底部在蝕刻製程152期間受到保護。在一些實施例中,蝕刻製程152包括乾化學蝕刻、遠端電漿蝕刻、濕蝕刻、其他適用技術及/或上述之組合。在一些實施例中,蝕刻製程152中使用的蝕刻劑包括四氟化碳(CF4)或稀釋的氫氟酸(HF)。在一些實施例中,當縮短的閘極間隔物126'具有與縮短的虛置閘電極層122'相似的高度(例如通過控制蝕刻製程152的執行時間)時停止蝕刻製程152。
在一些實施例中,縮短的虛置閘電極層122'也在蝕刻製程152期間被部分蝕刻。在一些實施例中,在執行蝕刻製程152之後,縮短的虛置閘極結構118'和縮短的閘極間隔物126'具有彎曲的頂面。在一些實施例中,在執行蝕刻製程152之後縮短的虛置閘極結構118'的中間部分的高度H3小於執行蝕刻製程152之前的高度H2。在執行蝕刻製程152之後,接觸根據一些實施例,蝕刻停止層144被擴大的溝槽150'部分暴露。在一些其他實施例中,縮短的虛置閘電極層122'的高度在蝕刻製程152期間不會進一步降低。
根據一些實施例,接著,完全移除縮短的虛置閘電極層122',以形成閘極溝槽154,如第2H圖所示。更具體地,根據一些實施例,完全移除縮短的虛置閘電極層122',以暴露虛置閘極介電層120和縮短的閘極間隔物126'的側壁。在一些實施例中,通過執行濕蝕刻製程移除縮短的虛置閘電極層122'。在一些實施例中,濕蝕刻劑例如可使用四甲基氫氧化銨(TMAH)溶液選擇性移除縮短 的虛置閘電極層122'。由於第二半導體材料層108被虛置閘極介電層120覆蓋,因此在移除縮短的虛置閘電極層122'的蝕刻製程期間,第二半導體材料層108受到虛置閘極介電層120的保護。
根據一些實施例,之後,執行氧化處理156以形成氧化層158,如第2I圖所示。氧化處理156配置為對閘極溝槽154的輪廓進行整形,從而可提高在後續製程中形成在其中的閘極結構的形成均勻性。更具體地,根據一些實施例,在氧化處理156期間,縮短的閘極間隔物126'、接觸蝕刻停止層144和遮罩結構148的暴露部分被部分氧化,並且在修改的閘極間隔物126”、修改的接觸蝕刻停止層144'和修改的遮罩結構148'上方形成氧化層158。
在一些實施例中,在約300℃至約500℃範圍內的溫度下執行氧化處理156。在一些實施例中,執行氧化處理156的時間在從約10秒至約180秒的範圍內。在一些實施例中,使用包括氧氣(O2)、氦氣(He)、氬氣(Ar)或氮氣(N2)的氣體執行氧化處理156。在一些實施例中,在氧化處理156中使用的氣體的流速在從約100sccm至約1000sccm的範圍內。在一些實施例中,在約200W至約2000W範圍內的電漿功率下執行氧化處理156。在一些實施例中,在約5mTorr至約300mTorr範圍內的壓力下執行氧化處理156。在一些實施例中,氧化處理156包括去耦電漿氧化物(DPO)製程。通常,電漿功率與離子密度成正比。意即,如果需要更多的離子濃度,也可能需要更多的電漿功率。然而,在去耦電漿氧化物製程期間,可在相對低的電漿功率下實現相對高的離子濃度。意即,氧化層158可在較低的電漿功率下形成,使得其他元件在氧化處理156期間不會被損壞。
由閘極溝槽154暴露的縮短的閘極間隔物126'、接觸蝕刻停止層144和遮罩結構148的尖角被氧化,因此最終修改的閘極間隔物126”、修改的接 觸蝕刻停止層144'和修改的遮罩結構148'可具有更平滑的輪廓。此外,在氧化處理156期間,從結構的頂部施加電漿,使得結構的上部可比下部氧化更多。在一些實施例中,每個氧化層158在修改的遮罩結構148'的頂面上方具有最大的厚度。在一些實施例中,每個氧化層158的厚度從其頂部到其底部連續減小。在一些實施例中,每個氧化層158在修改遮罩結構148'的頂面上方的厚度不大於虛置閘極介電層120的厚度,使其可在後續製程中被完全移除。在一些實施例中,在修改的遮罩結構148'的頂面之上的每個氧化層158的厚度在從約1nm至約5nm的範圍內。如果氧化層158太厚,半導體結構的其他部分也可能在氧化處理156期間被氧化或損壞。另一方面,如果氧化層158不夠厚,可能不會修改閘極溝槽的輪廓。可通過調整執行氧化處理156的功率及/或時間來控制氧化層158的厚度。
根據一些實施例,在進行氧化處理156之後,移除氧化層158和虛置閘極介電層120以暴露出修改的閘極間隔物126”、修改的接觸蝕刻停止層144'、修改的遮罩結構148'和最頂層的第二半導體材料層108,如第2J圖所示。在一些實施例中,通過執行蝕刻製程移除氧化層158和虛置閘極介電層120。在一些實施例中,蝕刻製程包括乾化學蝕刻、遠端電漿蝕刻、濕蝕刻、其他適用技術及/或上述之組合。在一些實施例中,蝕刻製程中使用的蝕刻劑包括稀釋的氫氟酸(HF)。在一些實施例中,蝕刻製程中使用的蝕刻劑包括氫氟酸(HF)和氨(NH3)。
根據一些實施例,由於氧化層158是通過氧化縮短的閘極間隔物126'、接觸蝕刻停止層144和遮罩結構148形成的,因此修改的閘極間隔物126”、修改的接觸蝕刻停止層144'以及修改的遮罩結構148'的尺寸小於執行氧化處理156之前的縮短的閘極間隔物126'、接觸蝕刻停止層144和遮罩結構148的尺寸。 因此,根據一些實施例,在移除氧化層158之後,擴大閘極溝槽154以形成修改的閘極溝槽154'。此外,修改的閘極溝槽154'具有比原始閘極溝槽154更平滑的輪廓(例如,沒有尖角),如第2H圖所示。因此,可更好控制在修改的閘極溝槽154'中形成的閘極結構的填充(例如沉積)。
在移除氧化層158之後的修改的遮罩結構148'比在執行氧化處理156之前的遮罩結構148薄。在一些實施例中,在移除氧化層158之後的修改的接觸蝕刻停止層144'比在執行氧化處理156之前的接觸蝕刻停止層144短。另外,每個修改的接觸蝕刻停止層144'具有覆蓋修改的遮罩結構148'的側壁和層間介電層146的上部的較窄上部,且具有夾在層間介電層146和修改的閘極間隔物126”之間的較寬底部。
在一些實施例中,在移除氧化層158之後的修改的閘極間隔物126”比在執行氧化處理156之前的縮短的閘極間隔物126'短。此外,修改的閘極間隔物126”在修改的閘極溝槽154'中具有圓角且具有彎曲側壁。在一些實施例中,每個修改的閘極間隔物126”包括修改的第一間隔層128'和修改的第二間隔層129',並且修改的第一間隔層128'和修改的第二間隔層129'形成修改的閘極間隔物126”的傾斜側壁。在一些實施例中,修改的第二間隔層129'的最頂部高於修改的第一間隔層128'的最頂部。
根據一些實施例,修改(即圓角化)接觸蝕刻停止層144的尖角和縮短的閘極間隔物126'之後,移除第一半導體材料層106以形成通道層108',如第2K圖所示。更具體地,根據一些實施例,保留在通道區中的第二半導體材料層108形成通道層108',並且在通道層108'之間和周圍形成間隙160。通道層108'配置作為所得半導體結構100中的通道區,並且也可被稱為奈米結構、奈米片、奈 米線等。
可通過執行蝕刻製程來移除第一半導體材料層106。蝕刻製程可能包括選擇性濕蝕刻製程,例如APM(例如,氫氧化氨-過氧化氫-水混合物)刻製程。在一些實施例中,濕蝕刻製程使用蝕刻劑,例如氫氧化銨(NH4OH)、四甲基氫氧化銨(TMAH)、乙二胺鄰苯二酚(EDP)及/或氫氧化鉀(KOH)溶液。在一些實施例中,進一步進行修整製程以修整通道層108'的暴露部分,使得通道區域中的通道層108'的厚度比垂直重疊內間隔物134的區域中的厚度更窄。
根據一些實施例,在形成通道層108'之後,在修改的閘極溝槽154'和通道層108'之間和周圍的間隙160中形成閘極堆疊162,如第2L圖所示。根據一些實施例,閘極堆疊162環繞每個通道層108'形成全繞式閘極電晶體結構。如前所述,在執行氧化處理156和移除氧化層158之後,修改的閘極溝槽154'具有更平滑的輪廓。因此,可在修改的閘極溝槽154'中形成沒有(或更少)的空隙和接縫形成於其中的閘極堆疊162的材料層。
在一些實施例中,閘極堆疊162包括界面層164、閘極介電層166和閘電極層168。根據一些實施例,在形成界面層154、閘極介電層156和閘電極層158之後,可進行例如化學機械研磨(CMP)的平坦化製程或回蝕刻製程直到暴露出修改的遮罩結構148'為止,如第2L圖所示。
在一些實施例中,界面層164是圍繞(例如,包裹)通道層108'以及在基鰭結構104B的頂面上形成的氧化層。在一些實施例中,通過執行熱處理形成界面層164。
在一些實施例中,閘極介電層166形成在界面層164上方,使得通道層108'被閘極介電層166包圍(例如包裹)。此外,根據一些實施例,閘極介電層 166還覆蓋並直接接觸修改的閘極間隔物126”的傾斜側壁、修改的接觸蝕刻停止層144'的側壁和內間隔物134。在一些實施例中,閘極介電層166由一層或多層介電材料製成,例如二氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金,其他合適的高k介電材料或上述之組合。在一些實施例中,閘極介電層166使用化學氣相沉積(CVD)、原子層沉積(ALD)、另一種可應用的方法或上述之組合形成。
根據一些實施例,閘電極層168形成在閘極介電層166上並填充修改的閘極溝槽154'中和通道層108'之間的間隙160,使得通道層108'被閘極堆疊162包裹。在一些實施例中,閘電極層168由一層或多層導電材料製成,例如鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鋁化鈦(TiAl)、氮化鈦鋁(TiAlN)、氮碳化鉭(TaCN)、碳化鉭(TaC)、氮化鉭矽(TaSiN)、金屬合金、其他合適的材料或上述之組合。在一些實施例中,閘電極層168使用化學氣相沉積(CVD)、原子層沉積(ALD)、電鍍、其他可應用的方法或上述之組合來形成。
其他導電層,例如功函數金屬層(圖未顯示),也可形成在閘極堆疊162中,儘管上述元件未在圖中顯示。舉例來說,功函數金屬層可形成在閘介電層166和閘電極層168之間。另外,界面層164、閘介電層166和功函數金屬層可完全填充間隙160。因此,在一些其他實施例中,閘電極層168僅形成在修改的閘極溝槽154'中。
根據一些實施例,移除閘極堆疊162的上部以在閘極結構162'上方形成凹陷170,如第2M圖所示。在一些實施例中,通過執行回蝕刻製程移除閘 極堆疊162的上部。在一些實施例中,蝕刻製程為乾蝕刻製程。在一些實施例中,閘極結構162'的最頂面低於修改的閘極間隔物126”的最頂部分。在一些實施例中,縮短的虛置閘極結構118'的高度H2與通道層108'上方的閘極結構162'的高度H4之間的差值在從約15nm至約40nm的範圍內。由於修改的閘極間隔物126”的高度會受到縮短虛置閘極結構118'的高度H2的影響,如果高度H2與高度H4之間的差值不夠大,則修改的閘極間隔物126”可能不夠高以將閘極結構162'和之後形成的接觸分開。在一些實施例中,凹陷170部分暴露修改的第一間隔層128'和修改的第二間隔層129'兩者。
根據一些實施例,形成凹陷170之後,在閘極結構162'上方形成覆蓋層172,如第2N圖所示。在一些實施例中,覆蓋層172由金屬製成,例如鎢(W)、錸(Re)、銥(Ir)、鈷(Co)、鎳(Ni)、釕(Ru)、鉬(Mo)、鋁(Al)、鈦(Ti)、銀(Ag)、鋁(Al)、其他適用金屬或上述之多層。在一些實施例中,覆蓋層172由鎢(W)製成。在一些實施例中,覆蓋層172和閘電極層168由不同的材料製成。在一些實施例中,覆蓋層172覆蓋閘介電層166和閘電極層168兩者並且接觸修改的閘極間隔物126”的側壁。在一些實施例中,覆蓋層172的頂面低於修改的閘極間隔物126”的頂部。
根據一些實施例,在形成覆蓋層172之後,遮罩結構174形成在覆蓋層172上方的凹陷170中,如第2N圖所示。遮罩結構174配置為在用於形成接觸結構的後續蝕刻製程期間保護閘極結構162'。在一些實施例中,遮罩結構174具有較窄的底部和較寬的頂部。在一些實施例中,遮罩結構174由介電材料製成,例如氧化矽(SiO2)、氮化矽(SiN)、氧氮化矽(SiON)、碳氮化矽(SiCN)、氮碳氧化矽(SiOCN)、氧-摻雜碳氮化矽(Si(O)CN)或上述之組合。在一些實施例中,遮罩 結構174由氮化矽製成。在一些實施例中,通過使用例如化學氣相沉積(CVD(例如流動式化學氣相沉積(FCVD)、低壓化學氣相沉積(LPCVD)、電漿輔助化學氣相沉積(PECVD)、高密度電漿化學氣相沉積(HDP-CVD)或高深寬比沉積(HARP))、原子層沉積(ALD)或類似製程沉積介電材料來形成遮罩結構174。根據一些實施例,在形成介電材料之後,進行平坦化製程,如第2N圖所示。更具體地,在平坦化製程期間完全移除修改的遮罩結構148',並且在平坦化製程期間也部分移除層間介電層146、修改的接觸蝕刻停止層144'和修改的閘極間隔物126”。平坦化製程可是化學機械研磨(CMP)、回蝕刻製程或上述之組合。
根據一些實施例,之後,在源/汲極結構136上方形成矽化物層180和接觸182,如第2O、2O-1和2O-2圖所示。更具體地說,第2O-1圖顯示半導體結構100的俯視示意圖,為了清楚起見,已進行了簡化,以便較佳理解本發明實施例的概念,且第2O-2圖是沿第2O-1圖的切線B-B'所示的根據一些實施例的半導體結構100的剖面圖(切線B-B'也顯示在第2E圖中)。
在形成遮罩結構174並執行平坦化製程之後,可形成穿過修改的接觸蝕刻停止層144'和層間介電層146的接觸開口,以部分暴露源/汲極結構136的頂面,並且矽化物層180和接觸182可形成在接觸開口中。可使用微影製程和蝕刻製程來形成接觸開口。此外,在蝕刻製程中,也可蝕刻接觸開口暴露的源/汲極結構136的一些部分。
在形成接觸開口之後,可通過在源/汲極結構136的頂面上方形成金屬層,並對金屬層進行退火,以使金屬層與源/汲極結構136反應以形成矽化物層180。根據一些實施例,可在形成矽化物層180之後移除未反應的金屬層。之後,在接觸開口中的矽化物層180上方形成接觸182,如第2O和2O-2圖所示。
在一些實施例中,接觸182由導電材料製成,包括鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、鈷、氮化鉭(TaN)、矽化鎳(NiS)、矽化鈷(CoSi)、矽化銅、碳化鉭(TaC)、氮化鉭矽(TaSiN)、氮碳化鉭(TaCN)、鋁化鈦(TiAl)、氮化鈦鋁(TiAlN)、其他適用的導電材料、或上述之組合。
接觸182進一步包括襯墊及/或阻擋層。例如,可在接觸溝槽的側壁和底部上形成襯墊(圖未顯示)。襯墊可由氮化矽製成,儘管其他實施例可使用任何其他適用的介電質。可使用電漿輔助化學氣相沉積(PECVD)製程形成襯墊,儘管其他實施例可使用其他適用的製程,例如物理氣相沉積或熱製程。阻擋層(圖未顯示)可形成在襯墊(如果存在)上方並且可覆蓋開口的側壁和底部。阻擋層可使用例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、電漿輔助化學氣相沉積(PECVD)、電漿輔助物理氣相沉積(PEPVD)、原子層沉積(ALD)或其他任何適用的沉積製程形成。阻擋層可由氮化鉭製成,但也可使用其他材料,例如鉭、鈦、氮化鈦或類似的材料。
如上所述,根據一些實施例,在形成閘極結構162'之前,縮短閘極間隔物126以擴大閘極溝槽154的頂部。之後,將縮短的閘極間隔物126'和接觸蝕刻停止層144的角修改為具有圓角的平滑輪廓,因此在修改的閘極溝槽154'中形成的閘極結構162'中將具有更少或沒有空隙及/或接縫。因此,可降低所得到的半導體結構100的閘極電阻,並且可提高製造製程的性能和良率。
此外,雖然閘極間隔物126被縮短和修改以形成具有較薄上部和較厚底部的修改的閘極間隔物126”,但夾在閘極結構162'和接觸182之間的修改的閘極間隔物126”的部分實質上具有相同或相似的厚度。因此,修改的閘極間隔物126”仍然可將閘極結構162'和接觸182分開,以防止短路或電流中斷。此外, 由於夾在閘極結構162'和接觸182之間的修改的閘極間隔物126”的部分不會太薄,不會破壞電容。
第3A和3B圖顯示根據一些實施例的製造半導體結構100a的中間階段。根據一些實施例,半導體結構100a可類似於先前描述的半導體結構100,除了半導體結構100a的遮罩結構174a比半導體結構100中所示的遮罩結構厚。
更具體地說,根據一些實施例,執行先前描述的第2A圖至第2M圖所示的製程,然後在閘極結構162'上方以及修改的閘極間隔物126”a和接觸蝕刻停止層144'a之間形成覆蓋層172和遮罩結構174a,如第3A圖所示。類似第2N圖所示,根據一些實施例,完全移除層間介電層146上方的修改的遮罩結構(即,第2M圖中所示修改的遮罩結構148')。然而,根據一些實施例,在用於形成遮罩結構174a的平坦化製程期間,僅輕微移除層間介電層146和修改的接觸蝕刻停止層144'a,且未移除修改的閘極間隔物126”a。亦即,根據一些實施例,層間介電層146的頂面高於修改的閘極間隔物126”a的頂部。
之後根據一些實施例,執行前述的第2O圖所示的製程。以形成半導體結構100a,如第3B圖所示。在一些實施例中,夾在遮罩結構174a和接觸182之間的修改的接觸蝕刻停止層144'a的頂部比夾在修改的閘極間隔物126”a和接觸182之間的修改的接觸蝕刻停止層144'a的底部窄。
形成半導體結構100a的製程和材料可與前述形成半導體結構100的製程和材料相似或相同,在此不再贅述。此外,形成修改的閘極間隔物126”a、修改接觸蝕刻停止層144'a和遮罩結構174a的製程和材料與前述形成修改的閘極間隔物126”、修改的接觸蝕刻停止層144'和遮罩結構174的製程和材料相似或相 同,在此不再贅述。
第4A和4B圖顯示製造根據一些實施例的半導體結構100b的中間階段。根據一些實施例,半導體結構100b可類似於先前描述的半導體結構100,除了其閘極結構162'b比半導體結構100中所示的閘極結構162'更厚。
更具體地說,執行先前描述的第2A圖至第2L圖所示的製程,以在通道層108'周圍和修改的閘極間隔物126”b之間形成閘極堆疊(例如第2L圖中所示的閘極堆疊162),根據一些實施例,然後移除閘極堆疊的上部,以在閘極結構162'b上方形成凹陷170b,如第4A圖所示。類似於第2M圖中的那些,根據一些實施例,通過執行回蝕刻製程移除閘極堆疊的上部。然而,根據一些實施例,閘極結構162'b的頂面與修改的閘極間隔物126”b的最頂部基本齊平。意即,根據一些實施例,修改的閘極間隔物126”b的傾斜側壁完全被閘極結構162'b覆蓋。在一些其他實施例中,閘極結構162'b略高於修改的閘極間隔物126”b。
之後,根據一些實施例,執行如前述第2N和2O圖所示的製程,以形成半導體結構100b,如第4B圖所示。在一些實施例中,閘極結構162'b具有較寬的頂面和較窄的底面。在一些實施例中,覆蓋層172b與修改的接觸蝕刻停止層144'b接觸。在一些實施例中,遮罩結構174b與修改的接觸蝕刻停止層144'b接觸但與修改的閘極間隔物126”b分開。此外,根據一些實施例,夾在遮罩結構174b和接觸182之間的修改的接觸蝕刻停止層144'b的頂部比夾在修改的閘極間隔物126”b和接觸182之間的修改的接觸蝕刻停止層144'b的底部窄。
形成半導體結構100b的製程和材料可與前述形成半導體結構100的製程和材料相似或相同,在此不再贅述。此外,用於形成修改的閘極間隔物126”b、修改的接觸蝕刻停止層144'b、遮罩結構174b、凹陷170b、覆蓋層172b和 閘極結構162'b的製程和材料與先前描述的用於形成修改的閘極間隔物126”、修改的接觸蝕刻停止層144'、遮罩結構174、凹陷170、覆蓋層172和閘極結構162'的製程和材料相似或相同,在此不再贅述。
第5A到5C圖顯示製造根據一些實施例的半導體結構100c的中間階段。根據一些實施例,半導體結構100c可類似於先前描述的半導體結構100,除了其虛置閘極介電層在氧化處理期間被增厚。
更具體地說,根據一些實施例,執行如前述第2A圖至第2H圖所示的製程,然後進行氧化處理156c以形成氧化層158並形成增厚的虛置閘極介電層120'c,如第5A圖所示。根據一些實施例,類似於第2I圖所示的氧化處理156,縮短的閘極間隔物126'、接觸蝕刻停止層144和遮罩結構148的暴露部分被部分氧化,並且氧化層158形成在修改的閘極間隔物126”、修改的接觸蝕刻停止層上144'和修改的遮罩結構148'上方。此外,根據一些實施例,在氧化處理156c期間,與虛置閘極介電層(例如第2H圖所示的虛置閘極介電層120)直接接觸的第二半導體材料層108c的最頂層的頂部也被氧化,使得虛置閘極介電層被增厚,以形成增厚的虛置閘極介電層120'c。根據一些實施例,在進行氧化處理156c之後,增厚的虛置閘極介電層120'c的最底部低於第二半導體材料層108c的最頂層的最頂表面(即在修改的閘極間隔物126”正下方的頂面)。氧化處理156c可類似於先前描述的氧化處理156,除了氧化處理156c的執行時間比氧化處理156的執行時間更長。
根據一些實施例,在執行氧化處理156c之後,移除氧化層158和增厚的虛置閘極介電層120'c以暴露修改的閘極間隔物126”、修改的接觸蝕刻停止層144'、修改的遮罩結構148'和第二半導體材料層108c的最頂層,如第5B圖所示。根據一些實施例,由於第二半導體材料層108c的最頂層在氧化處理156c期間 被部分氧化,因此在通道區的第二半導體材料層108c的最頂層比在通道區的其他第二半導體材料層108c(例如,通道區的最底層)更窄。
之後,根據一些實施例,執行如前述第2K到2O圖所示的流程,以形成半導體結構100c,如第5C圖所示。由於第二半導體層108c的最頂層在氧化處理156c期間被部分氧化,由第二半導體材料層108c形成的通道層108'c的最頂層在通道區也具有較薄的部分,而在修改的閘極間隔物126”下方也具有較厚的部分。在一些實施例中,通道層108'c的最頂層在通道區具有凹陷部分,並且閘極結構162”c的最頂層的界面層164c形成在上述凹陷部分中和周圍。
形成半導體結構100c的製程和材料可與前述形成半導體結構100的製程和材料相似或相同,在此不再贅述。此外,形成第二半導體材料層108c/通道層108'c和閘極結構162”c的界面層164c的製程和材料與的形成第二半導體材料層108/通道層108'和閘極結構162”的界面層164的製程和材料相似或相同,在此不再贅述。
第6A至6C圖顯示製造根據一些實施例的半導體結構100d的中間階段。根據一些實施例,半導體結構100d可類似於先前描述的半導體結構100c,除了第二半導體層108d的最頂層比其他第二半導體層108d厚之外。
更具體地說,根據一些實施例,交替堆疊第一半導體材料層106和第二半導體材料層108d,以在基板102上方形成半導體材料堆疊,並且第二半導體材料層108d的最頂層比第二半導體材料層108d的其他層厚(例如第二半導體材料層108d的最底層)。根據一些實施例,在形成半導體堆疊之後,執行如前述第2A圖至第2E圖所示的製程。以形成橫跨半導體堆疊的虛置閘極結構118,如第6A圖所示。
接著,根據一些實施例,執行第2F圖至第2H圖所示的製程,並執行氧化處理156d以形成氧化層158和增厚的虛置閘極介電層120'd,如第6B圖所示。在一些實施例中,氧化處理156d與氧化處理156c相同。意即,根據一些實施例,與虛置閘極介電層(例如第2H圖所示的虛置閘極介電層120)直接接觸的第二半導體材料層108d的最頂層的頂部也在氧化處理156d期間被氧化,以形成增厚的虛置閘極介電層120'd。
根據一些實施例,在進行氧化處理156d之後,執行如前述第2J到2O圖所示的製程,以形成半導體結構100d,如第6C圖所示。儘管第二半導體層108d的最頂層在氧化處理156d期間被部分氧化,但在通道區由第二半導體材料層108d形成的通道層108'd的最頂層具有與在通道區的通道層108'd的其它層(例如通道層108'd的最底層)基本相同的厚度。根據一些實施例,由於最頂層半導體層108d最初比其他半導體層108d厚,因此各通道層108'd的起始電壓(Vt)可更均勻,且不會影響閘極結構162'd的效能。同時,根據一些實施例,在修改的閘極間隔物126”正下方的通道層108'd的最頂層比位於內間隔物134正下方的通道層108'd的其他層(例如通道層108'd的最底層)厚。在一些實施例中,通道層108'd的最頂層在通道區具有凹陷部分,並且閘極結構162'd的界面層164d形成在凹陷部分中和周圍。
形成半導體結構100d的製程和材料可與形成前述半導體結構100c的製程和材料相似或相同,在此不再贅述。此外,形成第二半導體材料層108d/通道層108'd和閘極結構162'd的界面層164d的製程和材料與形成前述第二半導體材料層108/通道層108'和閘極結構162'的界面層164的製程和材料相似或相同,在此不再贅述。
第7A至7F圖顯示製造根據一些實施例的半導體結構100e的中間階段。根據一些實施例,半導體結構100e可類似於先前描述的半導體結構100,除了其縮短的虛置閘極結構118'e高於半導體結構100中所示的虛置閘極結構118。
更具體地說,根據一些實施例,執行如前述第2A圖至第2E圖所示的製程,並且凹陷虛置閘極結構(例如第2E圖中所示的虛置閘極結構118),以在縮短的虛置閘極結構118'e上方形成溝槽150e,如第7A圖所示。類似於第2F圖中的那些,根據一些實施例,移除虛置閘極結構的上部以暴露閘極間隔物126的側壁的上部。然而,根據一些實施例,縮短的虛置閘極結構118'e的頂面仍然高於遮罩結構148的底面。
接著,根據一些實施例,部分移除閘極間隔物126以形成縮短的閘極間隔物126'e,從而形成擴大的溝槽150'e,如第7B圖所示。根據一些實施例,類似於前述第2G圖所示的製程,執行蝕刻製程152以蝕刻由溝槽150e暴露的閘極間隔物126的部分。在一些實施例中,縮短的虛置閘電極層122'e也在蝕刻製程152期間被蝕刻。在一些實施例中,縮短的虛置閘極結構118'e和縮短的閘極間隔物126'e在執行蝕刻製程152之後具有彎曲的頂面。根據一些實施例,在執行蝕刻製程152之後,被擴大的溝槽150'e部分暴露接觸蝕刻停止層144。
接著,根據一些實施例,完全移除縮短的虛置閘電極層122'e以形成閘極溝槽154e,如第7C圖所示。類似於前述第2H圖所示的製程,根據一些實施例,移除縮短的虛置閘電極層122'e,以暴露虛置閘極介電層120和縮短的閘極間隔物126'e的側壁。
之後,根據一些實施例,進行氧化處理156,以形成氧化層158e, 如第7D圖所示。更具體地,根據一些實施例,在氧化處理156期間,縮短的閘極間隔物126'e、接觸蝕刻停止層144和遮罩結構148的暴露部分被部分氧化,並且在修改的閘極間隔物126”e、修改的接觸蝕刻停止層144'e和修改的遮罩結構148'e上方形成氧化層158e。
由於與第2H圖中的縮短的閘極間隔物126'相比,縮短的閘極間隔物126'e相對較高,與第2I圖中的閘極溝槽154相比大多數閘極溝槽154e相對較窄。因此,根據一些實施例,氧化層158e主要形成於修改的閘極間隔物126”e的頂部上方,且修改的閘極間隔物126”e的底部寬度與原始閘極間隔物126的底部寬度大致相同。在一些實施例中,修改的閘極間隔物126”e的底部具有實質上筆直的側壁。在一些實施例中,每個氧化層158e在修改的遮罩結構148'的頂面上具有最大的厚度。
根據一些實施例,在執行氧化處理156之後,移除氧化層158e和虛置閘極介電層120,以暴露修改的閘極間隔物126”e、修改的接觸蝕刻停止層144'e、修改的遮罩結構148'e和第二半導體材料層108的最頂層,如第7E圖所示。根據一些實施例,由於氧化層158e是通過氧化縮短的閘極間隔物126'e、接觸蝕刻停止層144和遮罩結構148形成的,因此修改的閘極間隔物126”e、修改的接觸蝕刻停止層144'e和修改的遮罩結構148'e的尺寸小於執行氧化處理156之前縮短的閘極間隔物126'e、接觸蝕刻停止層144和遮罩結構148的尺寸。因此,根據一些實施例,在移除氧化層158e之後,擴大閘極溝槽154e以形成修改的閘極溝槽154'e。
之後,根據一些實施例,執行如前述第2K到2O圖所示的製程,以形成半導體結構100e,如第2F圖所示。根據一些實施例,由於修改的閘極間 隔物126”e的底部具有實質上筆直的側壁,因此閘極結構162'e也具有實質上筆直的側壁。在一些實施例中,覆蓋層172e與修改的閘極間隔物126”e接觸。在一些實施例中,遮罩結構174e與修改的閘極間隔物126”e接觸。
形成半導體結構100e的製程和材料可與前述形成半導體結構100的製程和材料相似或相同,在此不再贅述。此外,用於形成縮短的虛置閘極結構118'e的縮短的虛置閘電極層122'e、溝槽150e/擴大的溝槽150'e/閘極溝槽154e、縮短的閘極間隔物126'e/修改的閘極間隔物126”e、氧化層158e、修改的接觸蝕刻停止層144'e、修改的遮罩結構148'e、閘極結構162'e、覆蓋層172e和遮罩結構174e的製程和材料與前述用於形成縮短虛置閘極結構118'的縮短虛置閘電極層122'、溝槽150/擴大的溝槽150'/閘極溝槽154、縮短閘極間隔物126'/修改的閘極間隔物126”、氧化層158e、修改的接觸蝕刻停止層144'、修改的遮罩結構148'、閘極結構162'、覆蓋層172和遮罩結構174的製程和材料相似或相同,在此不再贅述。
第8A至8D圖顯示根據一些實施例的製造半導體結構100f的中間階段。根據一些實施例,半導體結構100f可類似於前述的半導體結構100,除了閘極間隔物的上部沒有被移除,因為在執行氧化處理之前,在單一蝕刻製程中不是部分移除而是完全移除虛置閘極結構。
更具體地說,根據一些實施例,執行如前述第2A到2E圖所示的製程,然後完全移除虛設閘電極層(例如第2E圖所示的虛置閘電極層122),以形成閘極溝槽154f,如第8A圖所示。意即,省略第2F圖至第2G圖所示的前述用於形成半導體結構100的製程。
之後,根據一些實施例,執行氧化處理156以形成氧化層158f,如第8B圖所示。更具體地,根據一些實施例,在氧化處理156期間,閘極間隔物 126、接觸蝕刻停止層144和遮罩結構148被部分氧化,並且在修改的閘極間隔物126”f、修改的接觸蝕刻停止層144'f上方形成氧化層158f,以及修改的遮罩結構148'f。
根據一些實施例,由於在執行氧化處理156之前未縮短閘極間隔物126,氧化層158f主要形成在修改的閘極間隔物126”f的頂部上方。在一些實施例中,氧化層158f的最底部部分高於修改的遮罩結構148'f的底面。因此,不高於修改的遮罩結構148'f的底面的修改的閘極間隔物126”f的部分的寬度與原始閘極間隔物126的底部的寬度基本相同。在一些實施例中,不高於修改的遮罩結構148'f的底面的修改的閘極間隔物126”f的部分具有實質上筆直的側壁。在一些其他實施例中,氧化層158f的最底部部分實質上與修改的遮罩結構148'f的底面齊平或低於修改的遮罩結構148'f的底面。
根據一些實施例,在執行氧化處理156之後,移除氧化層158f和虛置閘極介電層120,以暴露修改的閘極間隔物126”f、修改的接觸蝕刻停止層144'f、修改的遮罩結構148'f和第二半導體材料層108的最頂層,如第8C圖所示。根據一些實施例,雖然在氧化處理156之前沒有縮短閘極間隔物126,但是仍然可通過執行氧化處理156,擴大閘極溝槽154f的上部,以形成修改的閘極溝槽154'f。
之後,根據一些實施例,執行如前述第2K到2O圖所示的流程,以形成半導體結構100f,如第8D圖所示。根據一些實施例,由於修改的閘極間隔物126”f的底部具有實質上筆直的側壁,閘極結構162'f也具有實質上筆直的側壁。在一些實施例中,覆蓋層172f與修改的閘極間隔物126”f接觸。在一些實施例中,遮罩結構174f也與修改的閘極間隔物126”f接觸。
形成半導體結構100f的製程和材料可與前述形成半導體結構100的製程和材料相似或相同,在此不再贅述。此外,用於形成閘極溝槽154f/修改的閘極溝槽154'f、氧化層158f、修改的接觸蝕刻停止層144'f、遮罩結構148'f、修改的閘極間隔物126”f、覆蓋層172f、遮罩結構174f和閘極結構162'f的製程和材料與前述用於形成閘極溝槽154/修改的閘極溝槽154'、氧化層158、修改的接觸蝕刻停止層144'、遮罩結構148'、修改的閘極間隔物126”、覆蓋層172、遮罩結構174和閘極結構162'的製程和材料相似或相同,在此不再贅述。
第9A和9B圖顯示根據一些實施例的製造半導體結構100g的中間階段。根據一些實施例,半導體結構100g可類似於先前描述的半導體結構100,除了在縮短閘極間隔物時完全移除虛置閘電極層之外。
更具體地說,根據一些實施例,執行如前述第2A圖至第2F圖所示的製程,然後蝕刻閘極間隔物(例如第2F圖所示的閘極間隔物126)和縮短的虛置閘電極層(例如第2F圖所示的縮短的虛置閘電極層122')兩者,以形成閘極溝槽154g。此外,根據一些實施例,通過蝕刻製程,部分移除閘極間隔物以形成縮短的閘極間隔物126',同時完全移除縮短的虛置閘電極層以暴露虛置閘極介電層120。在如第9A圖所示的蝕刻製程中閘極間隔物與縮短的虛置閘電極層之間的蝕刻選擇比可能小於在第2G圖所示的蝕刻製程中閘極間隔物和縮短的虛置閘電極層之間的蝕刻選擇比,使得當部分蝕刻閘極間隔物時,可完全移除縮短的虛置閘電極層。因此,可能不需要用於移除縮短的虛置閘電極層的附加蝕刻製程(例如第2H圖中所示的製程)。
之後,根據一些實施例,執行如前述第2I到2O圖所示的流程以形成半導體結構100g,如第9B圖所示。得到的半導體結構100g可與前述的半導體 結構100相同。形成半導體結構100g的製程和材料可與形成前述的半導體結構100的製程和材料相似或相同,在此不再贅述。
第10A至10F圖顯示根據一些實施例的製造半導體結構100h的中間階段。根據一些實施例,半導體結構100h可類似於前述的半導體結構100,除了半導體結構100h的接觸蝕刻停止層和遮罩結構在形成縮短的閘極間隔物時也被部分移除。
更具體地說,根據一些實施例,執行如前述第2A圖至第2F圖所示的製程,,然後,通過執行蝕刻製程152h,蝕刻閘極間隙壁(例如第2F圖所示的閘極間隙壁126)、接觸蝕刻停止層(例如第2F圖所示的接觸蝕刻停止層144)和遮罩結構(例如第2F圖中所示的遮罩結構148)以來形成縮短的閘極間隔物126'、蝕刻的接觸蝕刻停止層144h和蝕刻的遮罩結構148h,如第10A圖所示。在蝕刻製程152h中接觸蝕刻停止層和帶有閘極間隔物的遮罩結構的蝕刻選擇比可能小於在蝕刻製程152中接觸蝕刻停止層和帶有閘極間隔物的遮罩結構之間的蝕刻選擇比,所以在蝕刻製程152h期間,接觸蝕刻停止層和遮罩結構也被部分蝕刻。因此,根據一些實施例,蝕刻的接觸蝕刻停止層144h在其上部還具有傾斜的側壁。此外,根據一些實施例,蝕刻遮罩結構148h具有圓形頂部。
接著,根據一些實施例,完全移除縮短的虛置閘電極層122',以形成閘極溝槽154h,如第10B圖所示。由於閘極溝槽154h的上部由蝕刻的接觸蝕刻停止層144h和蝕刻的遮罩結構148h定義,如第2H圖所示,閘極溝槽154h的上部比閘極溝槽154的上部寬。在一些實施例中,沿X方向測量的閘極溝槽154h的頂部寬度W1大於沿X方向測量的縮短的閘極間隔物126'兩側之間的最大距離D1
之後,根據一些實施例,執行氧化處理156以形成氧化層158h,如第10C圖所示。更具體而言,根據一些實施例,在氧化處理156期間,縮短的閘極間隔物126'、蝕刻的接觸蝕刻停止層144h、層間介電層146和蝕刻的遮罩結構148h都被部分氧化,並且在修改的閘極間隔物126”h、修改的接觸蝕刻停止層144'h、修改的層間介電層146'h和修改的遮罩結構148'h上方形成氧化層158h。
根據一些實施例,在執行氧化處理156之後,移除氧化層158h和虛置閘極介電層120,以暴露修改的閘極間隔物126”h、修改的接觸蝕刻停止層144'h、修改的遮罩結構148'h、修改的層間介電層146'h,以及最頂層的第二半導體材料層108,如第10D圖所示。
根據一些實施例,由於氧化層158h是通過氧化縮短的閘極間隔物126'、蝕刻的接觸蝕刻停止層144h、蝕刻的遮罩結構148h和層間介電層146形成的,因此修改的閘極間隔物126”、修改的接觸蝕刻停止層144'h、修改的層間介電層146'h和修改的遮罩結構148'h的尺寸小於執行氧化處理156之前的縮短的閘極間隔物126'、蝕刻的接觸蝕刻停止層144h、層間介電層146和蝕刻的遮罩結構148h的尺寸。因此,根據一些實施例,在移除氧化層158h之後,擴大閘極溝槽154h以形成修改的閘極溝槽154'h。在一些實施例中,修改的層間介電層146'h的部分也被修改的閘極溝槽154'h暴露。在一些實施例中,修改的遮罩結構148'h不與修改的接觸蝕刻停止層144'h接觸。
接著,根據一些實施例,執行如前述第2K至2L圖所示的製程,以在修改的閘極溝槽154'h和通道層108'之間的間隙中形成閘極堆疊162h,如第10E圖所示。根據一些實施例,閘極堆疊162h環繞通道層108',以形成全繞式閘極電晶體結構。在一些實施例中,閘極介電層166h的部分接觸修改的遮罩結構 148'h、修改的層間介電層146'h、修改的接觸蝕刻停止層144'h和修改的閘極間隔物126”h。
之後,根據一些實施例,執行如前述第2M到2O圖所示的流程,以形成半導體結構100h,如第10F圖所示。由於移除閘極堆疊162h的上部,因此得到的閘極結構162'h可與第2O圖所示的閘極結構162'基本相同。
形成半導體結構100h的製程和材料可與形成前述半導體結構100的製程和材料相似或相同,在此不再贅述。此外,用於形成擴大的溝槽150'h/閘極溝槽154h/修改的閘極溝槽154'h、蝕刻的接觸蝕刻停止層144h/修改的接觸蝕刻停止層144'h、蝕刻的遮罩結構148h/修改的遮罩結構148'h、氧化層158h、修改的閘極間隔物126”h、蝕刻的層間介電層146'h和閘極結構162h/162'h的製程和材料類似於或相同於前述用於形成擴大的溝槽150'/閘極溝槽154/修改的閘極溝槽154'、蝕刻的接觸蝕刻停止層144/修改的接觸蝕刻停止層144'、蝕刻的遮罩結構148/修改的遮罩結構148'、氧化層158、修改的閘極間隔物126”、蝕刻的層間介電層146'和閘極結構162/162'的製程和材料,在此不再贅述。
可對上述實施例進行一些變化及/或修改。舉例來說,虛置閘極結構的形狀可不同於半導體結構100和100a至100h中所示的形狀,而其他製程仍可與上述相同。
第11A至11G圖顯示製造根據一些實施例的半導體結構100i的中間階段。根據一些實施例,半導體結構100i可類似於先前描述的半導體結構100,除了半導體結構100i的虛置閘極結構具有比頂部部部分寬的底部部分。
更具體地說,根據一些實施例,執行如前述第1A圖至第1C圖所示的製程,然後在形成橫跨鰭狀結構104的虛置閘極結構118i,並在虛置閘極結 構118i的側壁上形成閘極間隔物126i,如第11A圖所示。類似於虛置閘極結構118,每個虛置閘極結構118i包括虛置閘極介電層120和虛置閘電極層122,並且在虛置閘極結構118i上方形成硬遮罩層124。
同時,根據一些實施例,虛置閘極結構118i具有傾斜的側壁並且虛置閘極結構118i的頂部比底部窄。意即,根據一些實施例,虛置閘極結構118i的頂面比虛置閘極結構118i的底面寬。
此外,根據一些實施例,形成在虛置閘極結構118i的傾斜側壁上的閘極間隔物126i也具有傾斜側壁。在一些實施例中,每個閘極間隔物126i包括第一間隔層128和第二間隔層129,並且第一間隔層128和第二間隔層129也具有傾斜的側壁。
接著,根據一些實施例,進行執行第2B至2F圖所示的製程,以形成源/汲極結構136、接觸蝕刻停止層144i、層間介電層146i和遮罩結構148i,如第11B圖所示。更具體地,根據一些實施例,源/汲極結構136形成在鰭結構104中,並且接觸蝕刻停止層144i共形地形成在源/汲極結構和閘極間隔物126i的傾斜側壁上方。之後,根據一些實施例,在接觸蝕刻停止層144i上方形成層間介電層146i和遮罩結構148i。此外,根據一些實施例,由於虛置閘極結構118i具有錐形形狀,因此相鄰虛置閘極結構118i的頂部之間的距離比相鄰虛置閘極結構118i的底部之間的距離寬。因此,根據一些實施例,層間介電層146i具有較寬的頂部和較窄的底部。
接著,根據一些實施例,移除虛置閘極結構118i的上部,以在縮短的虛置閘極結構118'i上方形成溝槽150i,如第11B圖所示。根據一些實施例,蝕刻閘電極層122以形成縮短的虛置閘極結構118'i的縮短的閘電極層122'。在一 些實施例中,在縮短的虛置閘極結構118'i上方的溝槽150i具有較窄的頂部和較寬的底部。
接下來,根據一些實施例,執行如前述第2G圖所示的製程,並通過執行蝕刻製程152來蝕刻閘極間隔物126i以形成擴大的溝槽150'i,如第11C圖所示。之後,根據一些實施例,執行如前述第2H和2I圖所示的流程以形成氧化層158i,如第11D圖所示。更具體地,根據一些實施例,在氧化處理156期間,縮短的閘極間隔物126'i、接觸蝕刻停止層144i和遮罩結構148i被部分氧化,並且在修改的閘極間隔物126”i、修改的接觸蝕刻停止層144'i和修改的遮罩結構148'i上方形成氧化層158i。
根據一些實施例,在執行氧化處理156之後,移除氧化層158i和虛置閘極介電層120,以暴露修改的閘極間隔物126”i、修改的接觸蝕刻停止層144'i、修改的遮罩結構148'i和第二半導體材料層108的最頂層,如第11E圖所示。根據一些實施例,在移除氧化層158i之後,擴大閘極溝槽154i以形成修改的閘極溝槽154'i。
如前所述,虛置閘極結構118i具有較窄的頂部,因此原始閘極溝槽也可具有較窄的頂部。然而,由於已修改閘極溝槽的輪廓以形成修改的閘極溝槽154i,因此修改的閘極溝槽154i的最頂部部分仍可能大於修改的閘極溝槽154i的底部部分。在一些實施例中,修改的閘極溝槽154i的頂部比修改的閘極溝槽154i的底部寬,並且修改的閘極溝槽154i的底部比修改的閘極溝槽154i的中間部分寬。
接下來,根據一些實施例,執行如前述第2K和2L圖所示的製程,以形成閘極堆疊162i,閘極堆疊162i形成在修改的閘極溝槽154'i和通道層108'之 間的間隙中,如第11F圖所示。之後,根據一些實施例,執行如前述第2M到2O圖所示的製程,以形成半導體結構100i,如第11G圖所示。如前所述,儘管虛置閘極結構118i具有傾斜側壁,但由於在修改的閘極溝槽154'i中形成閘極結構162'i,仍可防止在閘極結構162'i中形成空隙或接縫。
形成半導體結構的製程和材料可與形成前述半導體結構100的製程和材料相似或相同,在此不再贅述。此外,用於形成虛置閘極結構118i/縮短的虛置閘極結構118'i、閘極間隔物126i/縮短的閘極間隔物126'i/修改的閘極間隔物126”i、接觸蝕刻停止層的製程和材料144i、層間介電層146i、遮罩結構148i、溝槽150i/擴大的溝槽150'i/閘極溝槽154i/修改的閘極溝槽154'i、氧化層158i和閘極堆疊162i/閘極結構162'i的製程和材料與前述用於形成虛置閘極結構118/縮短的虛置閘極結構118'、閘極間隔物126/縮短的閘極間隔物126'/修改的閘極間隔物126”、接觸蝕刻停止層144、層間介電層146、遮罩結構148、溝槽150/擴大的溝槽150'/閘極溝槽154/修改的閘極溝槽154'、氧化層158和閘極堆疊162/閘極結構162'的製程和材料相似或相同,在此不再贅述。
可對上述實施例進行其他變化及/或修改。舉例來說,源/汲極結構的形狀可不同於半導體結構100和100a至100i中所示的形狀,而其他製程仍可與上述相同。第12圖顯示根據一些實施例的半導體結構100j的剖面圖,根據一些實施例,半導體結構100j可類似於前述的半導體結構100,除了半導體結構100j的源/汲極結構136j的形狀與半導體結構100的源/汲極結構136的形狀不同之外。
更具體地,根據一些實施例,在形成接觸蝕刻停止層之前不凹陷源/汲極結構136j的頂部。在一些實施例中,源/汲極結構136j具有與修改的接觸蝕刻停止層144'和矽化物層180接觸的凸頂面。形成半導體結構100j的製程和材 料可類似於或相同於前述形成半導體結構100的製程和材料,在此不再贅述。此外,形成源/汲極結構136j的製程和材料與前述形成源/汲極結構136的製程和材料相似或相同,在此不再贅述。
此外,上述形成半導體結構100和100a至100j的製程也可應用於鰭式場效電晶體(FinFET)結構。第13圖顯示根據一些實施例的半導體結構100k的剖面圖。根據一些實施例,半導體結構100k可類似於前述的半導體結構100,除了通過圖案化基板102形成鰭結構104k而不形成半導體材料疊層之外。
更具體地說,根據一些實施例,當形成鰭式場效電晶體(FinFET)結構時不執行第2C、2D和2K圖的製程,並且在鰭結構104k的凹陷中形成源/汲極結構136k。用於形成半導體結構100k的其他製程和材料可與前述用於形成半導體結構100的製程和材料相似或相同,在此不再贅述。
應了解,半導體結構100i、100j和100k中所示的其他實施例也可應用於半導體結構100a至100h。舉例來說,第11A至11G圖所示的錐形虛置閘極結構118i和閘極結構162i/162'i也可應用於半導體結構100a至100h。此外,上述半導體結構可用於半導體裝置中的邏輯區、靜態隨機存取記憶體(SRAM)區或兩者。
通常,可先形成虛置閘極結構,然後再用閘極結構取代。然而,隨著半導體裝置尺寸的不斷減小,通過移除虛置閘極結構形成的閘極溝槽可能具有尖角及/或高深寬比,從而可能在閘極結構中形成空隙和接縫,導致較差的性能(例如低電阻(R),更多缺陷)和良率。
因此,在本揭露的一些實施例中,在形成閘極結構(例如,閘極結構162'、162'b、162”c、162'd、162'e、162'f、162'h和162'i)之前修改閘極溝槽 的輪廓(例如以形成修改的閘極溝槽154'、154'e、154'f、154'h和154'i)。更具體地說,進行氧化處理(例如氧化處理156、156c和156d),使得閘極溝槽中的材料層的角被圓化,因此修改的閘極溝槽具有平滑的輪廓,可更容易填充閘極堆疊於其中而不會形成空隙和接縫。由於在閘極結構中形成的空隙和接縫可能在閘極回蝕刻製程期間導致更高的電阻或閘極結構的損壞,因此上述半導體結構可具有改善的性能和均勻性。
此外,修改的閘極溝槽的輪廓可根據應用進行調整。舉例來說,當原閘極溝槽的深寬比較高時,可縮短閘極間隔物及/或使閘極間隔物氧化更多,因此修改的閘極溝槽可具有更寬的頂部,從而更容易形成閘極結構。在一些其他示例中,修改的閘極間隔物可具有與最初形成的閘極間隔物基本相同的厚度,從而可確保閘極結構和接觸之間的分離。
應該注意的是,第1A圖至第13圖中相同的元件可用相同的標號表示,可包括相似的材料,並且可通過相似的製程形成。因此,為了簡潔起見,省略了這些多餘的細節。此外,雖然第1A至13圖是關於上述方法進行描述的,但是應當理解,第1A至13圖中所揭露的結構不限於上述方法,而是可作為獨立於上述方法的結構單獨存在。類似地,第1A至13圖中所示的方法不限於所揭露的結構,而是可獨立於這些結構而獨立存在。此外,根據一些實施例,上述通道層可包括奈米結構,例如奈米線、奈米片或其他適用的奈米結構。
此外,雖然所揭露的方法在下文中被圖示和描述為一系列動作或事件,但是應當理解,在一些其他實施例中可改變這樣的動作或事件的圖示順序。舉例來說,一些動作可與除了上面所示及/或描述的那些之外的其他動作或事件以不同的順序發生或者同時發生。此外,可不需要所有所示動作來實施上 述描述的一個或多個方面或實施例。此外,可在一個或多個單獨的動作及/或階段中執行一個或多個上述動作。
此外,上面使用的用語“大致”、“實質上”、“實質的”和“約”解釋了小的變化,並且可在不同的技術中有所變化,並且可在所屬技術領域中具有通常知識者理解的偏差範圍內。例如,當與事件或情況結合使用時,這些用語可指事件或情況準確發生的情況以及事件或情況非常接近發生的情況。
可提供用於形成半導體結構的實施例。半導體結構的形成可包括在虛置閘極結構上方形成虛置閘極結構和閘極間隔物。可移除虛置閘極結構並且可修改閘極溝槽的輪廓。形成在修改的閘極溝槽中的閘極結構可具有更少空隙和接縫,或沒有空隙和接縫,因此可改善半導體結構的性能。
在一些實施例中,提供一種半導體結構的製造方法。半導體結構包括形成從基板突出的鰭結構。此外,鰭結構包括交替堆疊的多個第一半導體材料層和多個第二半導體材料層。半導體結構的製造方法還包括形成橫跨鰭結構的虛置閘極結構,以及在虛置閘極結構的側壁上形成閘極間隔物。半導體結構的製造方法還包括部分氧化閘極間隔物,以形成氧化層,且移除氧化層,以形成修改的閘極間隔物。半導體結構的製造方法還包括移除第一半導體材料層以形成多個間隙,以及在間隙中形成閘極結構,以環繞第二半導體材料層且在第二半導體材料層上方,以覆蓋修改的閘極間隔物。
在一些實施例中,修改的閘極間隔物具有與閘極結構直接接觸的傾斜側壁。
在一些實施例中,修改的閘極間隔物的底部比修改的閘極間隔物的頂部寬。
在一些實施例中,半導體結構的製造方法更包括移除閘極結構的上部以形成凹陷;以及在凹陷中形成遮罩結構,其中遮罩結構的頂面比遮罩結構的底面寬。
在一些實施例中,遮罩結構與修改的閘極間隔物接觸。
在一些實施例中,半導體結構的製造方法更包括在部分氧化閘極間隔物以形成氧化層之前,凹陷虛置閘極結構以形成暴露閘極間隔物的第一側壁的溝槽;以及從溝槽部分移除閘極間隔物。
在一些實施例中,半導體結構的製造方法更包括形成附著至第二半導體材料層的源/汲極結構;在源/汲極結構上方形成接觸蝕刻停止層並覆蓋閘極間隔物的第二側壁;以及在接觸蝕刻停止層上方形成層間介電層,其中從溝槽部分移除閘極間隔物之後,接觸蝕刻停止層被溝槽暴露出來且還被部分氧化以形成氧化層。
在一些實施例中,在移除氧化層形成修改的閘極間隔物之後,層間介電層的一部分被溝槽暴露出來。
在一些實施例中,提供一種半導體結構的製造方法。半導體結構的製造方法包括交替堆疊多個第一半導體材料層和多個第二半導體材料層,以在基板上方形成半導體堆疊,且圖案化半導體堆疊進行以形成鰭結構。方法還包括形成橫跨鰭結構的虛置閘極結構。此外,虛置閘極結構包括虛置氧化層和虛置閘電極層。方法還包括在虛置閘極結構的多個側壁上形成多個閘極間隔物,且移除虛置閘電極層的上部以形成溝槽。方法還包括從溝槽中蝕刻閘極間隔物,氧化閘極間隔物以形成氧化層。方法還包括移除氧化層並移除第一半導體材料層。方法還包括形成環繞第二半導體材料層的閘極結構。
在一些實施例中,半導體結構的製造方法更包括在氧化閘極間隔物以形成氧化層之前,移除虛置閘電極層的底部以暴露虛置氧化層。
在一些實施例中,半導體結構的製造方法更包括氧化第二半導體材料層的最頂層,形成增厚的虛置氧化層;以及移除增厚的虛置氧化層。
在一些實施例中,在圖案化半導體堆疊以形成鰭結構之前,第二半導體材料層的最頂層比第二半導體材料層的一最底層厚。
在一些實施例中,在移除增厚的虛置氧化層之後,與閘極結構接觸的第二半導體材料層的最頂層的一部分比第二半導體材料層的最底層薄。
在一些實施例中,半導體結構的製造方法更包括形成附著至第二半導體材料層的多個相對側的多個源/汲極結構;在源/汲極結構上方形成接觸蝕刻停止層並覆蓋閘極間隔物的多個側壁;以及部分氧化接觸蝕刻停止層以形成氧化層。
在一些實施例中,提供一種半導體結構。半導體結構包括基板和形成在基板上方的通道層。半導體結構還包括環繞通道層的閘極結構和形成在通道層的最頂層上方的閘極間隔物。半導體結構還包括形成在閘極結構上方的遮罩結構。此外,閘極間隔物具有與閘極結構和遮罩結構兩者接觸的彎曲側壁。
在一些實施例中,遮罩結構的頂面比遮罩結構的底面寬。
在一些實施例中,其中閘極間隔物包括第一間隔層;以及第二間隔層,形成在第一間隔層上方,其中遮罩結構與第一間隔層和第二間隔層兩者直接接觸。
在一些實施例中,半導體結構更包括覆蓋層,夾在閘極結構和遮罩結構之間,其中覆蓋層與第一間隔層接觸。
在一些實施例中,通道層的最頂層的中心部分比些通道層的最底層的中心部分薄。
在一些實施例中,半導體結構更包括多個內間隔物,夾在閘極間隔物下方的通道層之間,其中在閘極間隔物的垂直下方的通道層的最頂層的一部分比在內間隔物的垂直下方的通道層的最底層的一部分厚。
以上概述數個實施例之特徵,以使所屬技術領域中具有通常知識者可更加理解本揭露實施例的觀點。所屬技術領域中具有通常知識者應理解,可輕易地以本揭露實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在所屬技術領域中具有通常知識者也應理解,此類均等的結構並無悖離本揭露的精神與範圍,且可在不違背本揭露之精神和範圍下,做各式各樣的改變、取代和替換。
100:半導體結構
108':通道層
126”:閘極間隔物
128:第一間隔層
129:第二間隔層
134:內間隔物
136:源/汲極結構
138:第一部分
140:第二部分
142:第三部分
144':接觸蝕刻停止層
162':閘極結構
164:界面層
166:閘極介電層
168:閘電極層
172:覆蓋層
174:遮罩結構
180:矽化物層
182:接觸

Claims (15)

  1. 一種半導體結構的製造方法,包括:形成從一基板突出的一鰭結構,其中該鰭結構包括交替堆疊的多個第一半導體材料層和多個第二半導體材料層;形成橫跨該鰭結構的一虛置閘極結構;在該虛置閘極結構的一側壁上形成一閘極間隔物;部分氧化該閘極間隔物,以形成一氧化層;移除該氧化層,以形成一修改的閘極間隔物;移除該些第一半導體材料層以形成多個間隙;以及在該些間隙中形成一閘極結構,以環繞該些第二半導體材料層且在該些第二半導體材料層上方,以覆蓋該修改的閘極間隔物。
  2. 如請求項1之半導體結構的製造方法,其中該修改的閘極間隔物具有與該閘極結構直接接觸的一傾斜側壁。
  3. 如請求項2之半導體結構的製造方法,其中該修改的閘極間隔物的一底部比該修改的閘極間隔物的一頂部寬。
  4. 如請求項3之半導體結構的製造方法,更包括:移除該閘極結構的一上部以形成一凹陷;以及在該凹陷中形成一遮罩結構,其中該遮罩結構的一頂面比該遮罩結構的一底面寬。
  5. 如請求項1或2之半導體結構的製造方法,更包括:在部分氧化該閘極間隔物以形成該氧化層之前,凹陷該虛置閘極結構以形成暴露該閘極間隔物的一第一側壁的一溝槽;以及 從該溝槽部分移除該閘極間隔物。
  6. 一種半導體結構的製造方法,包括:交替堆疊多個第一半導體材料層和多個第二半導體材料層以在一基板上方形成一半導體堆疊;圖案化該半導體堆疊以形成一鰭結構;形成橫跨該鰭結構的一虛置閘極結構,其中該虛置閘極結構包括一虛置氧化層和一虛置閘電極層;在該虛置閘極結構的多個側壁上形成多個閘極間隔物;移除該虛置閘電極層的一上部以形成一溝槽;從該溝槽蝕刻該些閘極間隔物;氧化該些閘極間隔物以形成一氧化層;移除該氧化層;移除該些第一半導體材料層;以及形成環繞該些第二半導體材料層的一閘極結構。
  7. 如請求項6之半導體結構的製造方法,更包括:在氧化該些閘極間隔物以形成該氧化層之前,移除該虛置閘電極層的一底部以暴露該虛置氧化層。
  8. 如請求項6或7之半導體結構的製造方法,更包括:氧化該些第二半導體材料層的一最頂層,形成一增厚的虛置氧化層;以及移除該增厚的虛置氧化層。
  9. 如請求項6或7之半導體結構的製造方法,更包括:形成附著至該些第二半導體材料層的多個相對側的多個源/汲極結構; 在該些源/汲極結構上方形成一接觸蝕刻停止層並覆蓋該些閘極間隔物的多個側壁;以及部分氧化該接觸蝕刻停止層以形成該氧化層。
  10. 一種半導體結構,包括:一基板;多個通道層,形成在該基板上方;一閘極結構,環繞該些通道層;一閘極間隔物,形成在該些通道層的一最頂層上方;以及一遮罩結構,形成在該閘極結構上方,其中該閘極間隔物具有與該閘極結構和該遮罩結構兩者接觸的一彎曲側壁。
  11. 如請求項10之半導體結構,其中該遮罩結構的一頂面比該遮罩結構的一底面寬。
  12. 如請求項10或11之半導體結構,其中該閘極間隔物包括:一第一間隔層;以及一第二間隔層,形成在該第一間隔層上方,其中該遮罩結構與該第一間隔層和該第二間隔層兩者直接接觸。
  13. 如請求項12之半導體結構,更包括:一覆蓋層,夾在該閘極結構和該遮罩結構之間,其中該覆蓋層與該第一間隔層接觸。
  14. 如請求項10或11之半導體結構,其中該些通道層的該最頂層的一中心部分比該些通道層的一最底層的一中心部分薄。
  15. 如請求項10或11之半導體結構,更包括: 多個內間隔物,夾在該閘極間隔物下方的該些通道層之間,其中在該閘極間隔物的垂直下方的該些通道層的該最頂層的一部分比在該些內間隔物的垂直下方的該通道層的最底層的一部分厚。
TW111136940A 2021-12-14 2022-09-29 半導體結構及其製造方法 TWI832453B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163289451P 2021-12-14 2021-12-14
US63/289,451 2021-12-14
US17/831,130 US20230187535A1 (en) 2021-12-14 2022-06-02 Semiconductor structure with modified spacer and method for forming the same
US17/831,130 2022-06-02

Publications (2)

Publication Number Publication Date
TW202329255A TW202329255A (zh) 2023-07-16
TWI832453B true TWI832453B (zh) 2024-02-11

Family

ID=85769686

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111136940A TWI832453B (zh) 2021-12-14 2022-09-29 半導體結構及其製造方法

Country Status (3)

Country Link
US (1) US20230187535A1 (zh)
CN (1) CN115881793A (zh)
TW (1) TWI832453B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140339611A1 (en) * 2013-05-14 2014-11-20 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
TW201901960A (zh) * 2017-04-05 2019-01-01 美商格芯(美國)集成電路科技有限公司 具有二極體隔離之堆疊奈米片場效電晶體

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140339611A1 (en) * 2013-05-14 2014-11-20 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
TW201901960A (zh) * 2017-04-05 2019-01-01 美商格芯(美國)集成電路科技有限公司 具有二極體隔離之堆疊奈米片場效電晶體

Also Published As

Publication number Publication date
CN115881793A (zh) 2023-03-31
US20230187535A1 (en) 2023-06-15
TW202329255A (zh) 2023-07-16

Similar Documents

Publication Publication Date Title
US11961913B2 (en) Semiconductor device structure and method for forming the same
US11469332B2 (en) Semiconductor device and manufacturing method thereof
TWI770653B (zh) 半導體元件結構及其形成方法
US11735666B2 (en) Gate all around structure with additional silicon layer and method for forming the same
US11855211B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
TW202133348A (zh) 半導體裝置及其形成方法
TW202123472A (zh) 半導體裝置及其形成方法
KR102549861B1 (ko) 반도체 디바이스의 콘택 플러그 구조물 및 그 형성 방법
KR102454580B1 (ko) 분리 피쳐를 갖는 반도체 구조체 및 그 형성 방법
US20230253313A1 (en) Integrated circuit structure with backside via
US11923240B2 (en) Method of forming semiconductor device
TWI832453B (zh) 半導體結構及其製造方法
TW202240665A (zh) 半導體裝置
US20240105805A1 (en) Semiconductor structure with dielectric wall structure and method for manufacturing the same
US11990374B2 (en) Method for forming sidewall spacers and semiconductor devices fabricated thereof
US11935954B2 (en) Semiconductor device structure and method for forming the same
US11855167B2 (en) Structure and formation method of semiconductor device with nanosheet structure
US11710774B2 (en) Method for forming epitaxial source/drain features and semiconductor devices fabricated thereof
US20240006479A1 (en) Semiconductor structure and method for manufacturing the same
US20230395681A1 (en) Multi-gate device and method of fabrication thereof
US20230387200A1 (en) Semiconductor structure and method for manufacturing the same
US20230117574A1 (en) Semiconductor Structure and Method for Forming the Same
US20230343583A1 (en) Methods of forming semiconductor device structure
US20220367623A1 (en) Semiconductor device structure and method for forming the same
KR20220127117A (ko) 반도체 디바이스 및 방법