TWI822111B - Semiconductor device and method of forming the same - Google Patents

Semiconductor device and method of forming the same Download PDF

Info

Publication number
TWI822111B
TWI822111B TW111122177A TW111122177A TWI822111B TW I822111 B TWI822111 B TW I822111B TW 111122177 A TW111122177 A TW 111122177A TW 111122177 A TW111122177 A TW 111122177A TW I822111 B TWI822111 B TW I822111B
Authority
TW
Taiwan
Prior art keywords
fin
semiconductor material
type well
layer
layers
Prior art date
Application number
TW111122177A
Other languages
Chinese (zh)
Other versions
TW202303746A (en
Inventor
周鴻儒
林彥伯
郭俊銘
彭遠清
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303746A publication Critical patent/TW202303746A/en
Application granted granted Critical
Publication of TWI822111B publication Critical patent/TWI822111B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

A method includes forming a p-well and an n-well in a substrate. The method further includes forming a stack of interleaving first semiconductor layers and second semiconductor layers over the p-well and the n-well, the first semiconductor layers having a first thickness and the second semiconductor layers having a second thickness different than the first thickness. The method further includes annealing the stack of interleaving semiconductor layers. The method further includes patterning the stack to form fin-shaped structures including a first fin-shaped structure over the n-well and a second fin-shaped structure over the p-well. The method further includes etching to remove the second semiconductor layers from the first and second fin-shaped structures, where the first semiconductor layers have a different thickness within each of the first and second fin-shaped structures after the etching. The method further includes forming a metal gate over the first and second fin-shaped structures.

Description

半導體裝置與其形成方法Semiconductor device and method of forming same

本發明實施例一般關於積體電路裝置,更特別關於多閘極裝置如全繞式閘極裝置。 Embodiments of the present invention generally relate to integrated circuit devices, and more particularly to multi-gate devices such as fully wound gate devices.

電子產業對更小、更快、且同時支援大量複雜功能的電子裝置的需求持續增加。為了符合這些需求,積體電路產業的持續趨勢為製造低成本、高效能、與低能耗的積體電路。達成這些目標的主要方法為減少積體電路的尺寸(如最小積體電路結構尺寸),以改善產能並降低相關成本。然而縮小尺寸亦增加積體電路製造製程的複雜度。因此為了實現積體電路裝置與其效能的持續進展,積體電路製程技術亦需類似進展。 The electronics industry's demand for smaller, faster electronic devices that simultaneously support a large number of complex functions continues to increase. In order to meet these needs, the continued trend in the integrated circuit industry is to manufacture low-cost, high-performance, and low-energy-consumption integrated circuits. The main method to achieve these goals is to reduce the size of integrated circuits (such as the minimum integrated circuit structure size) to improve production capacity and reduce related costs. However, shrinking the size also increases the complexity of the integrated circuit manufacturing process. Therefore, in order to achieve continued progress in integrated circuit devices and their performance, similar progress in integrated circuit process technology is also required.

近來已導入多閘極裝置以改善閘極控制。多閘極裝置可增加閘極-通道耦合、減少關閉狀態電流、及/或減少短通道效應。多閘極裝置之一為全繞式閘極裝置,其包括的閘極結構可部分或完全延伸於通道區周圍,以接觸通道區的至少兩側。全繞式閘極裝置可大幅縮小積體電路技術、維持閘極控制、緩解短通道效應、並無縫整合至現有的積體電路製造製程。隨著全繞式閘極裝置持續縮小,n型金氧半與p型金氧半裝置對通道的需求不同所產生的挑戰,可能 劣化全繞式閘極裝置的效能。 Recently, multi-gate devices have been introduced to improve gate control. Multiple gate devices can increase gate-channel coupling, reduce off-state current, and/or reduce short channel effects. One of the multi-gate devices is a fully wound gate device, which includes a gate structure that can partially or completely extend around the channel area to contact at least two sides of the channel area. Fully wound gate devices can significantly shrink integrated circuit technology, maintain gate control, mitigate short channel effects, and be seamlessly integrated into existing integrated circuit manufacturing processes. As fully wound gate devices continue to shrink, challenges arising from the different channel requirements of n-type metal oxide half and p-type metal oxide half devices may Deteriorating the performance of fully wound gate devices.

本發明一實施例提供的半導體裝置的形成方法包括形成p型井與n型井於基板中。方法更包括形成交錯的多個第一半導體材料層與多個第二半導體材料層的一堆疊於該p型井與該n型井上,第一半導體材料層具有第一厚度,第二半導體材料層具有第二厚度,且第二厚度不同於第一厚度。方法更包括退火交錯的第一半導體材料層與第二半導體材料層的堆疊。在一些實施例中,方法更包括圖案化堆疊以形成多個鰭狀結構,鰭狀結構包括第一鰭狀結構於n型井上以及第二鰭狀結構於p型井上。方法更包括進行蝕刻製程以自第一鰭狀結構與第二鰭狀結構移除第二半導體材料層,其中蝕刻製程之後的第一鰭狀結構中的第一半導體材料層與第二鰭狀結構中的第一半導體材料層的厚度不同。方法更包括形成金屬閘極於第一鰭狀結構與第二鰭狀結構上。 A method of forming a semiconductor device according to an embodiment of the present invention includes forming p-type wells and n-type wells in a substrate. The method further includes forming a stack of a plurality of staggered first semiconductor material layers and a plurality of second semiconductor material layers on the p-type well and the n-type well, the first semiconductor material layer having a first thickness, and the second semiconductor material layer Has a second thickness, and the second thickness is different from the first thickness. The method further includes annealing the stack of alternating first and second semiconductor material layers. In some embodiments, the method further includes patterning the stack to form a plurality of fin structures, the fin structures including a first fin structure on the n-type well and a second fin structure on the p-type well. The method further includes performing an etching process to remove the second semiconductor material layer from the first fin structure and the second fin structure, wherein the first semiconductor material layer and the second fin structure in the first fin structure after the etching process The first semiconductor material layer in has a different thickness. The method further includes forming a metal gate on the first fin structure and the second fin structure.

在另一實施例中,半導體裝置的形成方法包括接收基板,其包括p型井與n型井。方法更包括形成多個半導體層的堆疊於基板的p型井與n型井上,半導體層的堆疊包括交錯的多個第一半導體材料層與多個第二半導體材料層。在一些實施例中,方法更包括對半導體層的堆疊進行退火製程,以自p型井驅動摻質至p型井上的第二半導體材料層中。方法更包括蝕刻半導體層的堆疊,以形成第一鰭狀結構於p型井上,並形成第二鰭狀結構於n型井上。在一些例子中,方法更包括自第一鰭狀結構與第二鰭狀結構移除第二半導體材料層,其中移除第二半導體材料層之後的第一鰭狀結構中的第一半導體材料層的厚度不同於第二鰭狀結構中的第一半導體材料層的厚度。 In another embodiment, a method of forming a semiconductor device includes receiving a substrate including a p-type well and an n-type well. The method further includes forming a plurality of semiconductor layers stacked on the p-type well and the n-type well of the substrate. The stack of semiconductor layers includes a plurality of staggered first semiconductor material layers and a plurality of second semiconductor material layers. In some embodiments, the method further includes performing an annealing process on the stack of semiconductor layers to drive dopants from the p-type well into the second semiconductor material layer above the p-type well. The method further includes etching the stack of semiconductor layers to form a first fin structure on the p-type well and a second fin structure on the n-type well. In some examples, the method further includes removing a second semiconductor material layer from the first fin structure and the second fin structure, wherein the first semiconductor material layer in the first fin structure after removing the second semiconductor material layer is different from the thickness of the first semiconductor material layer in the second fin structure.

在又一實施例中,半導體裝置包括基板。半導體裝置更包括第一鰭狀結構,位於基板的第一區上且包括第一組的多個第一通道層,而第一通道層各自具有第一厚度。裝置更包括第二鰭狀結構,位於基板的第二區上且包括第二組的多個第二通道層,第二通道層各自具有第二厚度,且第二厚度大於第一厚度。在一些實施例中,半導體裝置更包括閘極結構,位於第一鰭狀結構與第二鰭狀結構上,並包覆第一組的第一通道層與第二組的第二通道層。 In yet another embodiment, a semiconductor device includes a substrate. The semiconductor device further includes a first fin structure located on the first region of the substrate and including a first group of a plurality of first channel layers, and each of the first channel layers has a first thickness. The device further includes a second fin structure located on the second region of the substrate and including a second group of a plurality of second channel layers, each of the second channel layers having a second thickness, and the second thickness is greater than the first thickness. In some embodiments, the semiconductor device further includes a gate structure located on the first fin structure and the second fin structure and covering the first group of first channel layers and the second group of second channel layers.

A-A,B-B,C-C,D-D:剖線 A-A,B-B,C-C,D-D: hatching line

I,II:區域 I,II: area

t1,t2,t3,t4:厚度 t1,t2,t3,t4: thickness

100:方法 100:Method

102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132,134,136:步驟 102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132,134,136: Steps

200:工件 200:Artifact

202:基板 202:Substrate

203A:p型井 203A:p-type well

203B:n型井 203B: n-type well

204:堆疊 204:Stacking

206:犧牲層 206:Sacrificial layer

206T:頂部犧牲層 206T: Top sacrificial layer

208:通道層 208: Channel layer

210:鰭狀結構 210: Fin-like structure

210B:底部 210B: Bottom

210T:頂部 210T:Top

212:鰭狀物溝槽 212: Fin grooves

214:硬遮罩層 214: Hard mask layer

216:隔離結構 216:Isolation structure

218:介電鰭狀物 218:Dielectric Fins

220:覆層 220: Cladding

222:第一介電層 222: First dielectric layer

224:第二介電層 224: Second dielectric layer

226:高介電常數的介電層 226: High dielectric constant dielectric layer

240:虛置閘極堆疊 240: Dummy gate stack

242:虛置介電層 242: Dummy dielectric layer

244:虛置閘極 244: Dummy gate

246:閘極頂部硬遮罩 246: Hard mask on top of gate

248:氮化矽遮罩層 248: Silicon nitride mask layer

250:氧化矽遮罩層 250: Silicon oxide mask layer

252:閘極間隔物 252: Gate spacer

254:源極/汲極凹陷 254: Source/Drain recess

258:內側間隔物結構 258:Inner spacer structure

260:源極/汲極結構 260: Source/drain structure

266:閘極溝槽 266: Gate trench

270:接點蝕刻停止層 270: Contact etch stop layer

272:層間介電層 272:Interlayer dielectric layer

274:閘極結構 274: Gate structure

276:閘極介電層 276: Gate dielectric layer

278:閘極層 278: Gate layer

280:金屬蓋層 280:Metal cover

282:自對準蓋層 282:Self-aligned cover

284:閘極切割結構 284: Gate cutting structure

圖1A及1B係本發明一或多個實施例中,製造半導體裝置的方法之流程圖。 1A and 1B are flowcharts of a method of manufacturing a semiconductor device in one or more embodiments of the present invention.

圖2係本發明一或多個實施例中,半導體裝置的透視圖。 FIG. 2 is a perspective view of a semiconductor device according to one or more embodiments of the invention.

圖3A、4A、5A、6A、7A、8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、及18A係本發明一或多個實施例中,圖2所示的半導體裝置於圖1A及1B的方法之多種製作階段沿著剖線A-A的剖視圖。 Figures 3A, 4A, 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 15A, 16A, 17A, and 18A are the semiconductors shown in Figure 2 in one or more embodiments of the present invention. A cross-sectional view along line A-A of the device at various manufacturing stages of the method of Figures 1A and 1B.

圖3B、4B、5B、6B、7B、8B、9B、10B、11B、12B、13B、14B、15B、16B、17B、及18B係本發明一或多個實施例中,圖2所示的半導體裝置於圖1A及1B的方法之多種製作階段沿著剖線B-B的剖視圖。 Figures 3B, 4B, 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, 15B, 16B, 17B, and 18B are the semiconductors shown in Figure 2 in one or more embodiments of the present invention. A cross-sectional view along line B-B of the device at various manufacturing stages of the method of Figures 1A and 1B.

圖3C、4C、5C、6C、7C、8C、9C、10C、11C、12C、13C、14C、15C、16C、17C、及18C係本發明一或多個實施例中,圖2所示的半導體裝置於圖1A及1B的方法之多種製作階段沿著剖線C-C的剖視圖。 3C, 4C, 5C, 6C, 7C, 8C, 9C, 10C, 11C, 12C, 13C, 14C, 15C, 16C, 17C, and 18C are the semiconductors shown in FIG. 2 in one or more embodiments of the present invention. A cross-sectional view along line C-C of the device in various manufacturing stages of the method of Figures 1A and 1B.

圖3D、4D、5D、6D、7D、8D、9D、10D、11D、12D、13D、14D、15D、16D、17D、及18D係本發明一或多個實施例中,圖2所示的半導體裝置於圖1A 及1B的方法之多種製作階段沿著剖線D-D的剖視圖。 Figures 3D, 4D, 5D, 6D, 7D, 8D, 9D, 10D, 11D, 12D, 13D, 14D, 15D, 16D, 17D, and 18D are the semiconductors shown in Figure 2 in one or more embodiments of the present invention. Installed in Figure 1A and cross-sectional views along line D-D of various production stages of method 1B.

下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。 The following detailed description may be accompanied by accompanying drawings to facilitate understanding of various aspects of the present invention. It is important to note that the various structures are for illustrative purposes only and are not drawn to scale, as is the norm in this industry. Indeed, the dimensions of the various structures may be arbitrarily increased or reduced for clarity of illustration.

本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。下述內容提供的不同實施例或實例可實施本發明的不同結構。此外,下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構的實施例中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間,使結構及另一結構不直接接觸。 The same reference numbers may be repeatedly used in multiple examples of the present invention for simplicity, but elements with the same reference numbers in various embodiments and/or arrangements do not necessarily have the same corresponding relationship. The following content provides different embodiments or examples for implementing different structures of the invention. In addition, the following examples of specific components and arrangements are used to simplify the content of the present invention but not to limit the present invention. For example, the description of forming the first component on the second component includes embodiments in which the two are in direct contact, or embodiments in which the two are separated by other additional components rather than in direct contact. In addition, in embodiments of the invention in which a structure is formed on, connected to, and/or coupled to another structure, the structure may directly contact the other structure, or additional structures may be formed on the structure. and another structure so that there is no direct contact between the structure and the other structure.

此外,空間相對用語如「在...下方」、「下方」、「較低的」、「上方」、「較高的」、或類似用詞,用於描述圖式中一些元件或結構與另一元件或結構之間的關係。這些空間相對用語包括使用中或操作中的裝置之不同方向,以及圖式中所描述的方向。當裝置轉向不同方向時(旋轉90度或其他角度),則使用的空間相對形容詞也將依轉向後的方向來解釋。 In addition, spatially relative terms such as "below", "below", "lower", "above", "higher", or similar terms are used to describe some elements or structures in the diagram and A relationship between another element or structure. These spatially relative terms include the orientation of a device in use or operation and the orientation depicted in the drawings. When the device is turned in a different direction (rotated 90 degrees or other angles), the spatially relative adjectives used will also be interpreted according to the turned direction.

本發明實施例一般關於半導體裝置與其製作方法,且特別關於在不同區域中的通道組件厚度不同的電晶體之積體電路以改善整體效能。在多種實施例中,具有不同通道組件厚度於相同基板上的全繞式閘極電晶體,置於單 一積體電路晶片中的第一區(如n型金氧半電晶體)與第二區(如p型金氧半電晶體)。第一區與第二區可彼此直接相鄰。在本發明多種實施例中,佈植不同摻質於第一區與第二區中並進行蝕刻製程,以達不同厚度的通道組件。雖然實施例包括的堆疊半導體通道層在圖式中的形式為奈米線或奈米片的通道組件,本發明實施例不限於此且可實施於其他多閘極裝置,比如其他種類的全繞式閘極電晶體或鰭狀場效電晶體。 Embodiments of the present invention generally relate to semiconductor devices and methods of fabricating the same, and particularly relate to integrated circuits of transistors with different thicknesses of channel elements in different regions to improve overall performance. In various embodiments, fully wound gate transistors with different channel element thicknesses on the same substrate are placed on a single A first region (such as an n-type metal oxide semi-transistor) and a second region (such as a p-type metal oxide semi-transistor) in an integrated circuit chip. The first zone and the second zone may be directly adjacent to each other. In various embodiments of the present invention, different dopants are implanted in the first region and the second region and an etching process is performed to achieve channel components with different thicknesses. Although the stacked semiconductor channel layers included in the embodiments are in the form of nanowire or nanosheet channel components in the drawings, the embodiments of the present invention are not limited thereto and can be implemented in other multi-gate devices, such as other types of fully wound devices. Type gate transistor or fin field effect transistor.

本發明多種實施例將搭配圖1A及1B所示的形成半導體裝置的方法100之流程圖進行詳細說明。方法100僅為舉例,而非侷限本發明實施例至方法100實際說明處。可在方法100之前、之中、與之後提供額外步驟,且方法的額外實施例可置換、省略、或調換一些所述步驟。此處不詳述所有步驟以簡化說明。方法100將搭配圖2至18D說明如下,其顯示工件200於方法100的不同製作階段的部分透視圖與剖視圖。由於自工件200形成半導體裝置,工件200可依內容需要而視作半導體裝置或裝置。 Various embodiments of the present invention will be described in detail with reference to the flowchart of the method 100 of forming a semiconductor device shown in FIGS. 1A and 1B . Method 100 is only an example, and does not limit the embodiments of the present invention to the actual description of method 100. Additional steps may be provided before, during, and after method 100, and additional embodiments of the method may substitute, omit, or swap some of the steps. All steps are not detailed here to simplify the explanation. The method 100 is described below with reference to FIGS. 2 to 18D , which show partial perspective and cross-sectional views of the workpiece 200 at various stages of fabrication of the method 100 . Since a semiconductor device is formed from the workpiece 200, the workpiece 200 may be considered a semiconductor device or device, as the context requires.

在一些實施例中,工件200為積體電路晶片、單晶片系統、或其部分的一部分,其可包括多種被動與主動微電子裝置如電阻、電容器、電感、二極體、p型場效電晶體、n型場效電晶體、鰭狀場效電晶體、奈米片場效電晶體、奈米線場效電晶體、其他種類的多閘極場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極接面電晶體、橫向擴散金氧半電晶體、高電壓電晶體、高頻電晶體、記憶體裝置、其他合適構件、或上述之組合。已簡化圖2至18D使圖式清楚,以利理解本發明實施例的發明概念。工件200可添加額外結構,且工件200的其他實施例可置換、調整、或省略一歇下述結構。工件200包括n型場效電晶體所用的第一區如區域I,以及p型場效電晶體所用的第二區如區域II。 In some embodiments, the workpiece 200 is an integrated circuit chip, a single-chip system, or a portion thereof, which may include a variety of passive and active microelectronic devices such as resistors, capacitors, inductors, diodes, p-type field effect resistors, etc. Crystal, n-type field effect transistor, fin field effect transistor, nanochip field effect transistor, nanowire field effect transistor, other types of multi-gate field effect transistor, metal oxide half field effect transistor, complementary Metal oxide semi-transistors, bipolar junction transistors, laterally diffused metal oxide semi-transistors, high voltage transistors, high frequency transistors, memory devices, other suitable components, or combinations of the above. 2 to 18D have been simplified to clarify the drawings and facilitate understanding of the inventive concept of the embodiments of the present invention. Additional structures may be added to the workpiece 200, and other embodiments of the workpiece 200 may substitute, adapt, or omit some of the structures described below. The workpiece 200 includes a first region for n-type field effect transistors, such as region I, and a second region for p-type field effect transistors, such as region II.

圖2顯示工件200的透視圖,而圖3A至18D顯示工件200分別沿著圖2中的剖線A-A、剖線B-B、剖線C-C、與剖線D-D的部分剖視圖。具體而言,剖線A-A與剖線B-B的部分剖視圖分別為區域I與區域II中即將形成的電晶體之通道區(比如切開通道區中的Y-Z平面,其沿著閘極結構的長度方向並垂直於通道組件的長度方向)的剖視圖。剖線C-C與剖線D-D的部分剖視圖分別為沿著區域I與區域II中即將形成的電晶體的通道組件的長度方向(比如沿著通道組件的長度方向穿過通道區並緊鄰源極/汲極區的X-Z平面)的剖視圖。在此實施例中,用語「源極」與「汲極」可互換。 FIG. 2 shows a perspective view of the workpiece 200, and FIGS. 3A to 18D show partial cross-sectional views of the workpiece 200 along lines A-A, B-B, C-C, and D-D in FIG. 2 respectively. Specifically, the partial cross-sectional views of section lines A-A and B-B are respectively the channel area of the transistor to be formed in area I and area II (such as cutting the Y-Z plane in the channel area, which is along the length direction of the gate structure). perpendicular to the length of the channel assembly). The partial cross-sectional views of section lines C-C and D-D are respectively along the length direction of the channel component of the transistor to be formed in regions I and II (for example, along the length direction of the channel component through the channel region and adjacent to the source/drain Cross-sectional view of the polar region in the X-Z plane). In this embodiment, the terms "source" and "drain" are interchangeable.

如圖2與圖3A至3D所示,方法100的步驟102(圖1A)形成p型井203A與n型井203B於工件200中。工件200包括基板202。在一實施例中,基板202可為矽基板。在一些其他實施例中,基板202可包括其他半導體材料如鍺、矽鍺、或III-V族半導體材料。III-V族半導體材料的例子可包括砷化鎵、磷化銦、磷化鎵、氮化鎵、磷砷化鎵、砷化鋁銦、砷化鋁鎵、磷化鎵銦、或砷化鎵銦。在多種實施例中,基板202為自區域I連續延伸至區域II的基板,其中區域I包括p型井203A,而區域II包括n型井203B。 As shown in FIGS. 2 and 3A to 3D , step 102 ( FIG. 1A ) of the method 100 forms p-type wells 203A and n-type wells 203B in the workpiece 200 . Workpiece 200 includes substrate 202 . In one embodiment, the substrate 202 may be a silicon substrate. In some other embodiments, substrate 202 may include other semiconductor materials such as germanium, silicon germanium, or III-V semiconductor materials. Examples of III-V semiconductor materials may include gallium arsenide, indium phosphide, gallium phosphide, gallium nitride, gallium arsenide phosphorus, aluminum indium arsenide, aluminum gallium arsenide, gallium indium phosphide, or gallium arsenide Indium. In various embodiments, substrate 202 is a substrate that extends continuously from region I to region II, where region I includes p-type well 203A and region II includes n-type well 203B.

p型井203A的形成方法可採用離子佈植製程,以將p型摻質如硼、二氟化硼、或四氟化硼摻雜至區域I的基板202(如圖3A及3C)。離子佈植製程可取p型摻質以摻雜基板202至p型井的摻雜濃度。p型井的摻雜濃度可為約1x1016/cm3至約1x1018/cm3。在一些實施例中,p型井的摻雜濃度可較高或較低。n型井203B的形成方法可採用離子佈植製程,以將n型摻質如磷或砷摻雜至區域II的基板202(如圖3B及3D)。離子佈植製程可取n型摻質以摻雜基板202至n型井的摻雜濃度。n型井的摻雜濃度可為約1x1017/cm3至約1x1019/cm3。在一些實施例中,n 型井的摻雜濃度可較高或較低。 The p-type well 203A may be formed by using an ion implantation process to dope p-type dopants such as boron, boron difluoride, or boron tetrafluoride into the substrate 202 in region I (see FIGS. 3A and 3C ). The ion implantation process may use p-type dopants to dope the substrate 202 to the doping concentration of the p-type well. The p-type well may have a doping concentration of about 1x10 16 /cm 3 to about 1x10 18 /cm 3 . In some embodiments, the doping concentration of the p-type well may be higher or lower. The n-type well 203B may be formed using an ion implantation process to dope n-type dopants such as phosphorus or arsenic into the substrate 202 in region II (see FIGS. 3B and 3D ). The ion implantation process may use n-type dopants to dope the substrate 202 to the doping concentration of the n-type well. The n-type well may have a doping concentration of about 1x10 17 /cm 3 to about 1x10 19 /cm 3 . In some embodiments, the n-type well may have a higher or lower doping concentration.

如圖2與圖4A至4D所示,方法100的步驟104(圖1A)形成堆疊204於工件200的基板202的區域I與區域II之上,並退火工件200。堆疊204可包括交錯的通道層208與犧牲層206於基板202上,並包括頂部犧牲層206T於犧牲層206與通道層208上。通道層208可具有厚度t1,犧牲層206可具有厚度t2,且厚度t2小於厚度t1。厚度t1可比厚度t2大了約1.4倍至約1.5倍,且可為約1.46倍。若比例大於1.5,則可能沒有足夠空間形成金屬閘極以適當地圍繞通道層208。若比例小於1.4,則可能劣化裝置效能。 As shown in FIGS. 2 and 4A to 4D , step 104 ( FIG. 1A ) of method 100 forms stack 204 on region I and region II of substrate 202 of workpiece 200 , and anneals workpiece 200 . Stack 204 may include alternating channel layers 208 and sacrificial layers 206 on substrate 202 and include a top sacrificial layer 206T on sacrificial layers 206 and channel layers 208 . The channel layer 208 may have a thickness t1 and the sacrificial layer 206 may have a thickness t2, and the thickness t2 is less than the thickness t1. The thickness t1 may be about 1.4 times to about 1.5 times greater than the thickness t2, and may be about 1.46 times. If the ratio is greater than 1.5, there may not be enough space to form a metal gate to properly surround the channel layer 208 . If the ratio is less than 1.4, device performance may be degraded.

犧牲層206、通道層208、與頂部犧牲層206T的沉積方法可採用磊晶製程。磊晶製程的例子可包括氣相磊晶、超高真空化學氣相沉積、分子束磊晶、及/或其他合適製程。通道層208與犧牲層206可具有不同的半導體組成。在一些實施方式中,通道層208的組成為矽,而犧牲層206的組成為矽鍺。犧牲層206中的額外鍺含量,可用於選擇性移除犧牲層206或選擇性地使犧牲層206凹陷,而實質上不蝕刻通道層208。可交錯沉積犧牲層206與通道層208,使通道層夾設於犧牲層206之間。圖2顯示交錯且垂直堆疊的三個犧牲層206與三個通道層208,其僅用於說明而非侷限本發明實施例至請求項未實際記載處。層狀物的數目取決於半導體裝置如工件200所需的通道層208的數目。在一些實施例中,通道層208的數目介於2至7之間。 The deposition method of the sacrificial layer 206, the channel layer 208, and the top sacrificial layer 206T may be an epitaxial process. Examples of epitaxy processes may include vapor phase epitaxy, ultra-high vacuum chemical vapor deposition, molecular beam epitaxy, and/or other suitable processes. Channel layer 208 and sacrificial layer 206 may have different semiconductor compositions. In some embodiments, channel layer 208 is composed of silicon and sacrificial layer 206 is composed of silicon germanium. The additional germanium content in sacrificial layer 206 can be used to selectively remove or selectively recess sacrificial layer 206 without substantially etching channel layer 208 . The sacrificial layer 206 and the channel layer 208 may be deposited in a staggered manner such that the channel layer is sandwiched between the sacrificial layer 206 . FIG. 2 shows three sacrificial layers 206 and three channel layers 208 that are staggered and vertically stacked. This is only used to illustrate the embodiments of the present invention and does not limit the embodiments of the present invention to areas not actually stated in the claims. The number of layers depends on the number of channel layers 208 required for the semiconductor device such as workpiece 200. In some embodiments, the number of channel layers 208 is between 2 and 7.

頂部犧牲層206T與犧牲層206類似,其組成可為矽鍺。在一些例子中,犧牲層206與頂部犧牲層206T的組成實質上相同。頂部犧牲層206T可比犧牲層206厚,以在製作製程時保護堆疊204免於損傷。在一些例子中,頂部犧牲層206T的厚度可介於約20nm至約40nm之間,而犧牲層206的厚度可介於約4nm 至約15nm之間。 The top sacrificial layer 206T is similar to the sacrificial layer 206 and may be composed of silicon germanium. In some examples, sacrificial layer 206 is substantially the same composition as top sacrificial layer 206T. The top sacrificial layer 206T may be thicker than the sacrificial layer 206 to protect the stack 204 from damage during the fabrication process. In some examples, the thickness of top sacrificial layer 206T can be between about 20 nm and about 40 nm, and the thickness of sacrificial layer 206 can be between about 4 nm. to about 15nm.

如圖4A至4D所示,方法100的步驟106(圖1A)在工件200上進行退火製程。退火製程可使區域I的p型井中的p型摻質擴散至區域I的犧牲層206中,以產生摻雜的犧牲層206。退火製程可進一步使區域II的n型井中的n型摻質擴散至區域II的犧牲層206中,以產生摻雜的犧牲層206。n型摻質與p型摻質擴散至犧牲層206中的速率可不同。n型摻質與p型摻質的擴散可能改變犧牲層206的蝕刻輪廓,使區域I的犧牲層206具有第一蝕刻速率,並使區域II的犧牲層206具有第二蝕刻速率。在一些實施例中,第一蝕刻速率不同於第二蝕刻速率。退火製程可進一步使n型摻質與p型摻質擴散至通道層208中。擴散至通道層208中的p型摻質與n型摻質的濃度,不可高到劣化通道遷移率。因此對裝置效能的效應實質上不明顯。退火製程可施加約900℃至約1000℃的溫度至工件200。退火製程亦可包括施加約10Torr至約20Torr的壓力至工件200。在一些實施例中,可在製作工件200的後續步驟進行退火製程。 As shown in FIGS. 4A to 4D , step 106 ( FIG. 1A ) of the method 100 performs an annealing process on the workpiece 200 . The annealing process can diffuse the p-type dopant in the p-type well in region I into the sacrificial layer 206 in region I to create a doped sacrificial layer 206 . The annealing process may further diffuse the n-type dopant in the n-type well in region II into the sacrificial layer 206 in region II to create a doped sacrificial layer 206 . The rates at which n-type dopants and p-type dopants diffuse into sacrificial layer 206 may be different. The diffusion of the n-type dopant and the p-type dopant may change the etching profile of the sacrificial layer 206 so that the sacrificial layer 206 in region I has a first etching rate and the sacrificial layer 206 in region II has a second etching rate. In some embodiments, the first etch rate is different than the second etch rate. The annealing process can further diffuse the n-type dopants and p-type dopants into the channel layer 208 . The concentration of p-type dopants and n-type dopants diffused into the channel layer 208 must not be high enough to degrade channel mobility. The effect on device performance is therefore essentially insignificant. The annealing process may apply a temperature of about 900°C to about 1000°C to the workpiece 200 . The annealing process may also include applying a pressure of about 10 Torr to about 20 Torr to the workpiece 200 . In some embodiments, an annealing process may be performed in subsequent steps of fabricating the workpiece 200 .

如圖5A至5D所示,方法100的步驟108(圖1A)圖案化堆疊204與基板202以形成隔有鰭狀物溝槽212的鰭狀結構210。為了圖案化堆疊204與基板202,可沉積硬遮罩層214於頂部犧牲層206T上。接著圖案化硬遮罩層214,以作為圖案化頂部犧牲層206T、堆疊204、與基板202的頂部所用的蝕刻遮罩。在一些實施例中,硬遮罩層214的沉積方法可採用化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、電漿輔助原子層沉積、或另一合適的沉積方法。硬遮罩層214可為單層或多層。當硬遮罩層214為多層時,硬遮罩層214可包括墊氧化物層與墊氮化物層。在另一實施例中,硬遮罩層214可包括矽。鰭狀結構210的圖案化方法可採用合適製程,包括雙重圖案化或多重圖案化製程。一般而言,雙重 圖案化或多重圖案化製程可結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成材料層於基板上,並採用光微影製程圖案化材料層。採用自對準製程以沿著圖案化的材料層側部形成間隔物。接著移除材料層,而保留的間隔物或芯之後可用於圖案化硬遮罩層214。接著採用圖案化的硬遮罩層214作為蝕刻遮罩,可蝕刻堆疊204與基板202以形成鰭狀結構210。蝕刻製程可包括乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他合適製程。 As shown in FIGS. 5A to 5D , step 108 of method 100 ( FIG. 1A ) patterns stack 204 and substrate 202 to form fin structures 210 separated by fin trenches 212 . To pattern stack 204 and substrate 202, a hard mask layer 214 may be deposited on top sacrificial layer 206T. Hard mask layer 214 is then patterned to serve as an etch mask for patterning top sacrificial layer 206T, stack 204, and the top of substrate 202. In some embodiments, the hard mask layer 214 may be deposited using chemical vapor deposition, plasma-assisted chemical vapor deposition, atomic layer deposition, plasma-assisted atomic layer deposition, or another suitable deposition method. Hard mask layer 214 may be a single layer or multiple layers. When the hard mask layer 214 is multi-layered, the hard mask layer 214 may include a pad oxide layer and a pad nitride layer. In another embodiment, hard mask layer 214 may include silicon. The patterning method of the fin structure 210 may adopt a suitable process, including a double patterning or a multi-patterning process. Generally speaking, double Patterning or multi-patterning processes can combine photolithography and self-alignment processes to produce pattern pitches that are smaller than those achieved using a single direct photolithography process. For example, one embodiment forms a material layer on a substrate and uses a photolithography process to pattern the material layer. A self-aligned process is used to form spacers along the sides of the patterned material layer. The material layer is then removed, and the remaining spacers or cores can then be used to pattern the hard mask layer 214 . Then, using the patterned hard mask layer 214 as an etch mask, the stack 204 and the substrate 202 can be etched to form the fin structure 210 . The etching process may include dry etching, wet etching, reactive ion etching, and/or other suitable processes.

如圖5A至5D所示,鰭狀結構210可各自包括由基板202的一部分所形成的底部210B,以即由堆疊204所形成的頂部210T。頂部210T位於底部210B上。鰭狀結構210的長度方向可沿著X方向延伸,且可自基板202沿著Z方向垂直延伸。鰭狀物溝槽212可沿著Y方向分開鰭狀結構210。在一些例子中,鰭狀物溝槽212的寬度可為約20nm至約50nm,以定義相鄰的鰭狀結構210之間的空間。 As shown in FIGS. 5A-5D , the fin structures 210 may each include a bottom 210B formed from a portion of the substrate 202 , ie, a top 210T formed from the stack 204 . Top 210T sits on bottom 210B. The length direction of the fin structure 210 may extend along the X direction, and may extend vertically from the substrate 202 along the Z direction. Fin trenches 212 may separate fin structures 210 along the Y direction. In some examples, fin trenches 212 may have a width of about 20 nm to about 50 nm to define a space between adjacent fin structures 210 .

如圖6至6D所示,方法100的步驟110(圖1A)形成隔離結構216於鰭狀物溝槽212中。隔離結構216可視作淺溝槽隔離結構。在形成隔離結構216的製程例子中,可沉積介電材料於工件200上,以將介電材料填入鰭狀物溝槽212。在一些實施例中,介電材料可包括四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料)。在多種實施例中,步驟110沉積介電材料的方法可為可流動的化學氣相沉積、旋轉塗佈、及/或其他合適製程。接著可薄化與平坦化沉積的介電材料,比如採用化學機械研磨製程直到露出硬遮罩層214。 As shown in FIGS. 6-6D , step 110 ( FIG. 1A ) of method 100 forms isolation structure 216 in fin trench 212 . Isolation structure 216 may be considered a shallow trench isolation structure. In an example process for forming isolation structure 216 , dielectric material may be deposited on workpiece 200 to fill fin trenches 212 with the dielectric material. In some embodiments, the dielectric material may include tetraethoxysilane oxide, undoped silicate glass, or doped silicon oxide (such as boron phosphosilicate glass, fluorosilicate glass, phosphorus silicate glass, borosilicate glass, and/or other suitable dielectric materials). In various embodiments, the method of depositing the dielectric material in step 110 may be flowable chemical vapor deposition, spin coating, and/or other suitable processes. The deposited dielectric material may then be thinned and planarized, such as using a chemical mechanical polishing process, until the hard mask layer 214 is exposed.

在平坦化之後,可進行回蝕刻製程使沉積的介電材料凹陷,直到 堆疊204完全隆起高於隔離結構216。在所述實施例中,亦可部分地露出底部210B,如圖所示。舉例來說,步驟110的回蝕刻製程可包括濕蝕刻、乾蝕刻、反應性離子蝕刻、或其他合適的蝕刻方法。回蝕刻製程或其他合適製程(如灰化或光阻剝除)亦可移除硬遮罩層214。 After planarization, an etch-back process can be performed to recess the deposited dielectric material until Stack 204 is fully raised above isolation structure 216 . In the embodiment, the bottom 210B may also be partially exposed, as shown in the figure. For example, the etch-back process of step 110 may include wet etching, dry etching, reactive ion etching, or other suitable etching methods. The hard mask layer 214 can also be removed by an etch-back process or other suitable processes (such as ashing or photoresist stripping).

如圖7A至7D、8A至8D、及9A至9D所示,方法100的步驟112(圖1A)形成介電鰭狀物218。在所述實施例中,步驟112可形成介電鰭狀物218於鰭狀物溝槽212中。形成介電鰭狀物218的製程例子可包括順應性沉積覆層220(如圖7A至7D所示),順應性沉積第一介電層222並沉積第二介電層224於鰭狀物溝槽212中(如圖8A至8D所示),以及沉積高介電常數的介電層226於第一介電層222與第二介電層224的頂部上(如圖9A至9D所示)。 As shown in Figures 7A-7D, 8A-8D, and 9A-9D, step 112 (Figure 1A) of method 100 forms dielectric fins 218. In the illustrated embodiment, step 112 may form dielectric fins 218 in fin trenches 212 . An example process for forming dielectric fins 218 may include compliantly depositing a coating 220 (as shown in FIGS. 7A-7D ), compliantly depositing a first dielectric layer 222 and compliantly depositing a second dielectric layer 224 in the fin trenches. in trench 212 (as shown in FIGS. 8A to 8D ), and depositing a high-k dielectric layer 226 on top of the first dielectric layer 222 and the second dielectric layer 224 (as shown in FIGS. 9A to 9D ). .

覆層220沉積於工件200上,包括區域I與區域II中的堆疊204的側壁與底部210B的頂部上。在一些實施例中,覆層220的組成可與頂部犧牲層206T或犧牲層206的組成類似。在一例中,覆層220的組成可為矽鍺。上述共同的組成可使後續蝕刻製程同時選擇性地移除犧牲層206與覆層220。在一些實施例中,可採用氣相磊晶或分子束磊晶順應性地磊晶成長覆層220。如圖7A至7D所示,覆層220可選擇性地位於鰭狀物溝槽212中的露出側壁表面上。依據覆層220的選擇性成長量,可進行回蝕刻製程以露出隔離結構216。 Coating 220 is deposited on workpiece 200 , including on top of the sidewalls and bottom 210B of stack 204 in Regions I and II. In some embodiments, the composition of cladding layer 220 may be similar to the composition of top sacrificial layer 206T or sacrificial layer 206. In one example, the coating layer 220 may be composed of silicon germanium. The above common composition allows the subsequent etching process to selectively remove the sacrificial layer 206 and the cladding layer 220 simultaneously. In some embodiments, vapor phase epitaxy or molecular beam epitaxy may be used to compliantly epitaxially grow the coating 220 . As shown in FIGS. 7A-7D , cladding 220 may be selectively located on exposed sidewall surfaces in fin trenches 212 . Depending on the selective growth amount of the cladding layer 220, an etch back process may be performed to expose the isolation structure 216.

形成介電鰭狀物218的製程例子可進一步包括依序順應性沉積第一介電層222與第二介電層224於工件200上。第一介電層222可圍繞第二介電層224。可採用化學氣相沉積、原子層沉積、或合適方法順應性沉積第一介電層222。第一介電層222襯墊鰭狀物溝槽212的側壁與下表面。接著可採用化學氣相沉積、高密度電漿化學氣相沉積、可流動的化學氣相沉積、及/或其他合適製程, 以順應性沉積第二介電層224於第一介電層222上。在一些例子中,第二介電層224的介電常數小於第一介電層222的介電常數。第一介電層222可包括矽、氮化矽、碳化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或其他合適的介電材料。在一實施例中,第一介電層222包括氧化鋁。第二介電層224可包括氧化矽、碳化矽、氮氧化矽、碳氮氧化矽、或其他合適的介電材料。在一實施例中,第二介電層224包括氧化矽。如圖8A至8D所示的一些例子,沉積第一介電層222與第二介電層224之後進行化學機械研磨製程,可移除多餘材料部分並平坦化工件200的上表面,以露出頂部犧牲層206T。 An example process of forming the dielectric fins 218 may further include sequentially depositing the first dielectric layer 222 and the second dielectric layer 224 on the workpiece 200 . The first dielectric layer 222 may surround the second dielectric layer 224. The first dielectric layer 222 may be conformally deposited using chemical vapor deposition, atomic layer deposition, or a suitable method. The first dielectric layer 222 lines the sidewalls and lower surface of the fin trench 212 . Then chemical vapor deposition, high density plasma chemical vapor deposition, flowable chemical vapor deposition, and/or other suitable processes may be used. The second dielectric layer 224 is compliantly deposited on the first dielectric layer 222 . In some examples, the dielectric constant of second dielectric layer 224 is less than the dielectric constant of first dielectric layer 222 . The first dielectric layer 222 may include silicon, silicon nitride, silicon carbide, silicon carbonitride, silicon oxycarbonitride, aluminum oxide, aluminum nitride, aluminum oxynitride, zirconium oxide, zirconium nitride, zirconium aluminum oxide, oxide hafnium, or other suitable dielectric material. In one embodiment, first dielectric layer 222 includes aluminum oxide. The second dielectric layer 224 may include silicon oxide, silicon carbide, silicon oxynitride, silicon oxycarbonitride, or other suitable dielectric materials. In one embodiment, second dielectric layer 224 includes silicon oxide. As shown in some examples in FIGS. 8A to 8D , a chemical mechanical polishing process is performed after depositing the first dielectric layer 222 and the second dielectric layer 224 to remove excess material and planarize the upper surface of the workpiece 200 to expose the top. Sacrificial layer 206T.

形成介電鰭狀物218的製程例子,可進一步包括沉積高介電常數的介電層226。在一些例子中,進行凹陷製程以移除第一介電層222與第二介電層224的頂部。凹陷製程可包括乾蝕刻製程、濕蝕刻製程、及/或上述之組合。在一些實施例中,控制凹陷步驟的深度(比如藉由控制蝕刻時間),以達所需的凹陷深度。在進行凹陷製程之後,可沉積高介電常數的介電層226於凹陷製程所形成的溝槽中。在一些實施例中,高介電常數的介電層226可包括氧化鉿、氧化鋯、氧化鉿鋁、氧化鉿矽、氧化釔、氧化鋁、或另一高介電常數的材料。高介電常數的介電層226的沉積方法可為化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、及/或其他合適製程。此處所述的高介電常數的介電層包括的介電材料,其介電常數大於熱氧化矽的介電常數(約3.9)。如圖9A至9D所示,沉積高介電常數的介電層226之後,可進行化學機械研磨製程以移除多餘的材料部分並平坦化工件200的上表面。一旦完成步驟112,即可定義介電鰭狀物218的下側部分(含有第一介電層222與第二介電層224的凹陷部分)與上側部分(含有高介電常數 的介電層226)。介電鰭狀物218亦可視作混合鰭狀物。 An example process for forming dielectric fins 218 may further include depositing a high-k dielectric layer 226 . In some examples, a recessing process is performed to remove the tops of the first dielectric layer 222 and the second dielectric layer 224 . The recessing process may include a dry etching process, a wet etching process, and/or a combination of the above. In some embodiments, the depth of the recess step is controlled (eg, by controlling the etching time) to achieve a desired recess depth. After performing the recessing process, a high-k dielectric layer 226 may be deposited in the trench formed by the recessing process. In some embodiments, high-k dielectric layer 226 may include hafnium oxide, zirconium oxide, hafnium aluminum oxide, hafnium silicon oxide, yttria, aluminum oxide, or another high-k material. The high-k dielectric layer 226 may be deposited by a chemical vapor deposition process, an atomic layer deposition process, a physical vapor deposition process, and/or other suitable processes. The high-k dielectric layer described herein includes a dielectric material whose dielectric constant is greater than the dielectric constant of thermally oxidized silicon (approximately 3.9). As shown in FIGS. 9A to 9D , after depositing the high-k dielectric layer 226 , a chemical mechanical polishing process may be performed to remove excess material and planarize the upper surface of the workpiece 200 . Once step 112 is completed, the lower portion of dielectric fin 218 (containing the recessed portions of first dielectric layer 222 and second dielectric layer 224) and the upper portion (containing the high dielectric constant dielectric layer 226). Dielectric fins 218 may also be considered hybrid fins.

如圖10A至10D所示,方法100的步驟114(圖1A)移除鰭狀結構210中的頂部犧牲層206T。步驟114蝕刻工件200以選擇性移除頂部犧牲層206T與覆層220的一部分,以露出最頂部的通道層208而實質上不損傷介電鰭狀物218。在一些實施例中,由於頂部犧牲層206T與覆層220的組成為矽鍺,步驟114的蝕刻製程可對矽鍺具有選擇性。舉例來說,可採用選擇性濕蝕刻製程以蝕刻覆層220與頂部犧牲層206T,包括採用氫氧化銨、氫氟酸、過氧化氫、或上述之組合。在移除頂部犧牲層206T與覆層220的一部分之後,介電鰭狀物218隆起高於最頂部的通道層208。 As shown in Figures 10A-10D, step 114 (Figure 1A) of method 100 removes top sacrificial layer 206T in fin structure 210. Step 114 etch workpiece 200 to selectively remove a portion of top sacrificial layer 206T and capping layer 220 to expose topmost channel layer 208 without substantially damaging dielectric fins 218 . In some embodiments, since the composition of the top sacrificial layer 206T and the cladding layer 220 is silicon germanium, the etching process in step 114 may be selective to silicon germanium. For example, a selective wet etching process may be used to etch the capping layer 220 and the top sacrificial layer 206T, including using ammonium hydroxide, hydrofluoric acid, hydrogen peroxide, or a combination thereof. After removing the top sacrificial layer 206T and a portion of the cladding layer 220, the dielectric fin 218 is raised above the topmost channel layer 208.

如圖11A至11D所示,方法100的步驟116(圖1A)形成虛置閘極堆疊240於鰭狀結構210的通道區上。在一些實施例中,採用閘極置換製程(或閘極後製製程),其中虛置閘極堆疊240作為功能閘極結構所用的占位物。其他製程與設置亦屬可能。在所述實施例中,虛置閘極堆疊240包括虛置介電層242與虛置閘極244位於虛置介電層242上。為了圖案化目的,可沉積閘極頂部硬遮罩246於虛置閘極堆疊240上。閘極頂部硬遮罩246可為多層,且可包括氮化矽遮罩層248與氧化矽遮罩層250位於氮化矽遮罩層248上。虛置閘極堆疊240之下的鰭狀結構210的區域可視作通道區。鰭狀結構210中的通道區各自夾設於兩個源極/汲極區之間。源極/汲極區用於形成源極/汲極,如下所述。在製程的例子中,可由化學氣相沉積毯覆性沉積虛置介電層242於工件200上。接著毯覆性沉積虛置閘極244所用的材料層於虛置介電層242上。接著可採用光微影製程圖案化虛置介電層242與虛置閘極244所用的材料層,以形成虛置閘極堆疊240。在一些實施例中,虛置介電層242可包括氧化矽,而虛置閘極244可包括多晶矽。 As shown in FIGS. 11A to 11D , step 116 ( FIG. 1A ) of the method 100 forms a dummy gate stack 240 on the channel region of the fin structure 210 . In some embodiments, a gate replacement process (or gate post-processing process) is used, in which the dummy gate stack 240 serves as a placeholder for the functional gate structure. Other processes and settings are also possible. In the embodiment, the dummy gate stack 240 includes a dummy dielectric layer 242 and a dummy gate 244 located on the dummy dielectric layer 242 . A gate top hard mask 246 may be deposited on dummy gate stack 240 for patterning purposes. The gate top hard mask 246 may be multi-layered and may include a silicon nitride mask layer 248 and a silicon oxide mask layer 250 located on the silicon nitride mask layer 248 . The area of the fin structure 210 under the dummy gate stack 240 can be regarded as a channel area. The channel regions in the fin structure 210 are each sandwiched between two source/drain regions. The source/drain regions are used to form the source/drain as described below. In an example process, the dummy dielectric layer 242 may be blanket deposited on the workpiece 200 by chemical vapor deposition. Then, a layer of material used for the dummy gate 244 is blanket deposited on the dummy dielectric layer 242 . Then, a photolithography process may be used to pattern the material layers used for the dummy dielectric layer 242 and the dummy gate 244 to form the dummy gate stack 240 . In some embodiments, dummy dielectric layer 242 may include silicon oxide and dummy gate 244 may include polysilicon.

如圖11A至11D所示,方法100的步驟118(圖1A)沿著虛置閘極堆疊240的側壁形成閘極間隔物252。閘極間隔物252可包括兩個或更多閘極間隔物層。閘極間隔物252所用的介電材料選擇,可選擇性移除虛置閘極堆疊240。合適的介電材料可包括氮化矽、碳氮氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽、氮氧化矽、及/或上述之組合。在製程的例子中,可採用化學氣相沉積、次壓化學氣相沉積、或原子層沉積以順應性地沉積閘極間隔物252於工件200上,接著非等向蝕刻閘極間隔物252以移除水平部分而保留閘極間隔物252的垂直部分於虛置閘極堆疊240的側壁上。 As shown in FIGS. 11A-11D , step 118 of method 100 ( FIG. 1A ) forms gate spacers 252 along the sidewalls of dummy gate stack 240 . Gate spacer 252 may include two or more gate spacer layers. The dielectric material used for gate spacers 252 is selected to selectively remove dummy gate stack 240 . Suitable dielectric materials may include silicon nitride, silicon oxycarbonitride, silicon carbonitride, silicon oxide, silicon oxycarb, silicon carbide, silicon oxynitride, and/or combinations thereof. In an example process, chemical vapor deposition, sub-pressure chemical vapor deposition, or atomic layer deposition may be used to conformally deposit the gate spacer 252 on the workpiece 200, and then anisotropically etch the gate spacer 252 to The horizontal portions are removed leaving the vertical portions of the gate spacers 252 on the sidewalls of the dummy gate stack 240 .

如圖12A至12D所示,方法100的步驟120(圖1A)使鰭狀結構210的源極/汲極區凹陷,以形成源極凹陷與汲極凹陷(可一起視作源極/汲極溝槽或源極/汲極凹陷254)。採用虛置閘極堆疊240與閘極間隔物252作為蝕刻遮罩,可非等向蝕刻工件200以形成源極/汲極凹陷254於鰭狀結構210的源極/汲極區上。在所述實施例中,步驟118自區域I與區域11中的源極/汲極區移除犧牲層206、通道層208、與覆層220,以露出底部210B。步驟120的非等向蝕刻可包括乾蝕刻製程。舉例來說,乾蝕刻製程可實施氫氣、含氟氣體(四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯氣體(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、含溴氣體(如溴化氫及/或溴仿)、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。 As shown in FIGS. 12A to 12D , step 120 of the method 100 ( FIG. 1A ) recesses the source/drain regions of the fin structure 210 to form source recesses and drain recesses (which may be collectively referred to as source/drain recesses). Trench or source/drain recess 254). Using the dummy gate stack 240 and gate spacers 252 as etching masks, the workpiece 200 can be anisotropically etched to form source/drain recesses 254 on the source/drain regions of the fin structure 210 . In the illustrated embodiment, step 118 removes the sacrificial layer 206, the channel layer 208, and the capping layer 220 from the source/drain regions in Region I and Region 11 to expose bottom 210B. The anisotropic etching in step 120 may include a dry etching process. For example, the dry etching process can be carried out using hydrogen, fluorine-containing gases (carbon tetrafluoride, sulfur hexafluoride, difluoromethane, fluoroform, and/or hexafluoroethane), chlorine-containing gases (such as chlorine, chloroform, Carbon tetrachloride, and/or boron trichloride), bromine-containing gases (such as hydrogen bromide and/or bromoform), iodine-containing gases, other suitable gases and/or plasma, and/or combinations of the above.

如圖13A至13D所示,方法100的步驟122形成內側間隔物結構258。在一些實施例中,步驟122先選擇性地使源極/汲極凹陷254中露出的犧牲層206部分凹陷,以形成內側間隔物凹陷,且實質上不蝕刻露出的通道層208。在一實施例中,通道層208實質上由矽組成,而犧牲層206實質上由矽鍺組成,則 選擇性地使犧牲層206部分凹陷的製程可包括矽鍺氧化製程與之後的矽鍺氧化物移除製程。在此實施例中,矽鍺氧化製程可包括採用臭氧。在一些其他實施例中,部分凹陷的步驟可包括選擇性蝕刻製程如選擇性乾蝕刻或選擇性濕蝕刻,且可由蝕刻製程的時間控制犧牲層206的凹陷量。選擇性乾蝕刻製程可採用一或多種氟為主的蝕刻劑,比如氟氣或碳氫氟化物。選擇性濕蝕刻製程可包括氫氧化銨、氫氟酸、過氧化氫、或上述之組合(APM蝕刻包括氫氧化銨、過氧化氫、與水的混合物。)。在形成內側間隔物凹陷之後,可採用化學氣相沉積或原子層沉積順應性沉積內側間隔物材料層於工件200上,包括內側間隔物凹陷之中與之上。內側間隔物材料可包括氮化矽、碳氮氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽、或氮氧化矽。在沉積內側間隔物材料層之後,可回蝕刻內側間隔物材料層以形成內側間隔物結構258,如圖13A至13D所示。 As shown in Figures 13A-13D, step 122 of method 100 forms inboard spacer structure 258. In some embodiments, step 122 first selectively recesses the exposed portion of the sacrificial layer 206 in the source/drain recess 254 to form an inner spacer recess without substantially etching the exposed channel layer 208 . In one embodiment, the channel layer 208 is substantially composed of silicon, and the sacrificial layer 206 is substantially composed of silicon germanium, then The process of selectively recessing portions of the sacrificial layer 206 may include a silicon germanium oxidation process followed by a silicon germanium oxide removal process. In this embodiment, the silicon germanium oxidation process may include the use of ozone. In some other embodiments, the step of partially recessing may include a selective etching process such as selective dry etching or selective wet etching, and the amount of recessing of the sacrificial layer 206 may be controlled by the time of the etching process. The selective dry etching process may use one or more fluorine-based etchants, such as fluorine gas or hydrofluorocarbons. The selective wet etching process may include ammonium hydroxide, hydrofluoric acid, hydrogen peroxide, or a combination thereof (APM etching includes a mixture of ammonium hydroxide, hydrogen peroxide, and water.). After forming the inner spacer recess, chemical vapor deposition or atomic layer deposition may be used to compliantly deposit an inner spacer material layer on the workpiece 200 , including in and on the inner spacer recess. The inner spacer material may include silicon nitride, silicon oxycarbonitride, silicon nitride carbonitride, silicon oxide, silicon oxycarb, silicon carbide, or silicon oxynitride. After depositing the inner spacer material layer, the inner spacer material layer may be etched back to form inner spacer structures 258, as shown in Figures 13A-13D.

如圖13A至13D所示,方法100的步驟124形成源極/汲極結構260。可選擇性磊晶沉積源極/汲極結構260於源極/汲極凹陷254中的底部210B與通道層208其露出的半導體表面上。源極/汲極結構260的沉積方法可採用磊晶製程,比如氣相磊晶、超高真空化學氣相沉積、分子束磊晶、及/或其他合適製程。源極/汲極結構260可包括鍺、矽、砷化鎵、砷化鋁鎵、矽鍺、磷砷化鎵、磷化矽、或其他合適材料。在一些實施例中,在磊晶製程時可原位摻雜源極/汲極結構260。舉例來說,一些實施例的磊晶成長的矽鍺源極/汲極結構可摻雜硼。在一些例子中,磊晶成長矽的磊晶源極/汲極結構可摻雜碳以形成摻雜碳的矽源極/汲極結構,可摻雜磷以形成摻雜磷的矽源極/汲極結構,或摻雜碳與磷以形成摻雜碳與磷的矽源極/汲極結構。在一些實施例中,不原位摻雜源極/汲極結構260,而改為進行佈植製程以摻雜源極/汲極結構260。蝕刻製程可包括乾蝕刻、濕蝕刻、 反應性離子蝕刻、及/或其他合適製程。 As shown in Figures 13A-13D, step 124 of method 100 forms source/drain structure 260. Source/drain structure 260 may be selectively epitaxially deposited on bottom 210B of source/drain recess 254 and on the exposed semiconductor surface of channel layer 208 . The source/drain structure 260 may be deposited using an epitaxial process, such as vapor phase epitaxy, ultra-high vacuum chemical vapor deposition, molecular beam epitaxy, and/or other suitable processes. Source/drain structure 260 may include germanium, silicon, gallium arsenide, aluminum gallium arsenide, silicon germanium, gallium arsenide phosphide, silicon phosphide, or other suitable materials. In some embodiments, the source/drain structure 260 can be doped in situ during the epitaxial process. For example, the epitaxially grown silicon germanium source/drain structures of some embodiments may be doped with boron. In some examples, the epitaxial source/drain structure of epitaxially grown silicon can be doped with carbon to form a carbon-doped silicon source/drain structure, and can be doped with phosphorus to form a phosphorus-doped silicon source/drain structure. drain structure, or doped with carbon and phosphorus to form a silicon source/drain structure doped with carbon and phosphorus. In some embodiments, the source/drain structure 260 is not doped in situ, but instead a implantation process is performed to dope the source/drain structure 260 . The etching process can include dry etching, wet etching, Reactive ion etching, and/or other suitable processes.

如圖14A至14D所示,方法100的步驟126(圖1A)沉積接點蝕刻停止層270與層間介電層272於工件200上。在製程的例子中,先順應性沉積接點蝕刻停止層270於工件200上,接著毯覆性沉積層間介電層272於接點蝕刻停止層270上。接點蝕刻停止層270可包括氮化矽、氧化矽、氮氧化矽、及/或本技術領域已知的其他材料。接點蝕刻停止層270的沉積方法可採用原子層沉積、電漿輔助化學氣相沉積製程、及/或其他合適的沉積或氧化製程。在一些實施例中,層間介電層272包括材料如四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料。層間介電層272的沉積方法可為旋轉塗佈、可流動的化學氣相沉積、或其他合適的沉積技術。一些實施例在形成層間介電層272之後,可退火工件200以改善層間介電層272的完整性。為了移除多餘材料(包括閘極頂部硬遮罩246)並露出虛置閘極堆疊240的虛置閘極244的上表面,可對工件200進行平坦化製程如化學機械研磨製程以提供平坦上表面。 As shown in FIGS. 14A to 14D , step 126 of method 100 ( FIG. 1A ) deposits contact etch stop layer 270 and interlayer dielectric layer 272 on workpiece 200 . In an example process, the contact etch stop layer 270 is first conformably deposited on the workpiece 200 , and then the interlayer dielectric layer 272 is blanket deposited on the contact etch stop layer 270 . Contact etch stop layer 270 may include silicon nitride, silicon oxide, silicon oxynitride, and/or other materials known in the art. The contact etch stop layer 270 may be deposited using atomic layer deposition, plasma-assisted chemical vapor deposition, and/or other suitable deposition or oxidation processes. In some embodiments, interlayer dielectric layer 272 includes a material such as tetraethoxysilane oxide, undoped silicate glass, or doped silicate oxide (eg, borophosphosilicate glass, fluorosilicate Glass, phosphosilicate glass, borosilicate glass, and/or other suitable dielectric materials. The deposition method of the interlayer dielectric layer 272 may be spin coating, flowable chemical vapor deposition, or other suitable Deposition technology. In some embodiments, after forming the interlayer dielectric layer 272, the workpiece 200 may be annealed to improve the integrity of the interlayer dielectric layer 272. In order to remove excess material (including the gate top hard mask 246) and expose the dummy gate On the upper surface of the dummy gate 244 of the electrode stack 240, a planarization process such as a chemical mechanical polishing process can be performed on the workpiece 200 to provide a flat upper surface.

如圖15A至15D所示,方法100的步驟128(圖1A)選擇性移除虛置閘極堆疊240。可由選擇性蝕刻製程自工件200移除步驟126所露出的虛置閘極堆疊240。選擇性蝕刻製程可為選擇性濕蝕刻製程、選擇性乾蝕刻製程、或上述之組合。在所述實施例中,選擇性蝕刻製程可選擇性移除虛置介電層242與虛置閘極244,而實質上不損傷通道層208與閘極間隔物252。移除虛置閘極堆疊240造成閘極溝槽266位於通道區上。在移除虛置閘極堆疊240之後,閘極溝槽266中露出通道區之中的通道層208、犧牲層206、與覆層220。 As shown in Figures 15A-15D, step 128 (Figure 1A) of method 100 selectively removes dummy gate stack 240. The dummy gate stack 240 exposed in step 126 may be removed from the workpiece 200 by a selective etching process. The selective etching process may be a selective wet etching process, a selective dry etching process, or a combination of the above. In the embodiment, the selective etching process can selectively remove the dummy dielectric layer 242 and the dummy gate 244 without substantially damaging the channel layer 208 and the gate spacer 252 . Removal of dummy gate stack 240 causes gate trench 266 to be located over the channel region. After the dummy gate stack 240 is removed, the channel layer 208, the sacrificial layer 206, and the cladding layer 220 in the channel region are exposed in the gate trench 266.

如圖16A至16D所示,方法100的步驟130(圖1A)自閘極溝槽266移 除犧牲層206與覆層220,以釋放通道層208。可進行全面蝕刻製程,以選擇性移除犧牲層206與覆層220。如上所述,步驟106的退火製程可使p型井的p型摻質擴散至區域I中的犧牲層206中,並使n型井中的n型摻質擴散至區域II中的犧牲層206中。如此一來,區域I中的犧牲層206具有第一蝕刻速率,區域II中的犧牲層206具有第二蝕刻速率,且第二蝕刻速率不同於第一蝕刻速率。在進行蝕刻製程時,可移除犧牲層206與覆層220。區域I中的釋放的通道層208的厚度t3為約8nm至約12nm。區域II中的釋放的通道層208的厚度t4為約9nm至約13nm。厚度t4可比厚度t3多約0.3nm至約0.8nm(如約0.5nm)。厚度差異來自於不同摻雜的犧牲層的蝕刻速率不同。舉例來說,區域I中的犧牲層206的蝕刻速率大於區域II中的犧牲層206的蝕刻速率。在移除區域I中的所有犧牲層206之後,蝕刻劑可移除區域I中的通道層208的一部分。這造成區域II中的通道層208的厚度t4大於區域I中的通道層208的厚度。通道層208的厚度差異可平衡汲極誘發能障下降與有效驅動電流,以改善裝置速度。此外,n型金氧半與p型金氧半裝置的物理高度不受影響,因為蝕刻犧牲層206的步驟造成通道層208的厚度。 As shown in Figures 16A-16D, step 130 (Figure 1A) of method 100 moves from gate trench 266 The sacrificial layer 206 and the cladding layer 220 are removed to release the channel layer 208. A comprehensive etching process may be performed to selectively remove the sacrificial layer 206 and the cladding layer 220 . As mentioned above, the annealing process of step 106 can diffuse the p-type dopant in the p-type well into the sacrificial layer 206 in region I, and diffuse the n-type dopant in the n-type well into the sacrificial layer 206 in region II. . As a result, the sacrificial layer 206 in region I has a first etching rate, the sacrificial layer 206 in region II has a second etching rate, and the second etching rate is different from the first etching rate. During the etching process, the sacrificial layer 206 and the cladding layer 220 can be removed. The thickness t3 of the released channel layer 208 in Region I is about 8 nm to about 12 nm. The thickness t4 of the released channel layer 208 in region II is about 9 nm to about 13 nm. Thickness t4 may be about 0.3 nm to about 0.8 nm (eg, about 0.5 nm) greater than thickness t3. The thickness difference comes from the different etching rates of the differently doped sacrificial layers. For example, the etching rate of the sacrificial layer 206 in region I is greater than the etching rate of the sacrificial layer 206 in region II. After removing all of the sacrificial layer 206 in Region I, the etchant may remove a portion of the channel layer 208 in Region I. This causes the thickness t4 of the channel layer 208 in region II to be greater than the thickness t4 of the channel layer 208 in region I. The thickness difference of the channel layer 208 can balance the drain-induced energy barrier reduction with the effective drive current to improve device speed. Furthermore, the physical height of the n-type MOSFET and p-type MOSFET devices is not affected because the step of etching the sacrificial layer 206 results in the thickness of the channel layer 208 .

步驟130所釋放的通道層208亦可視作通道組件。在所述實施例中,通道組件如通道層208符合片狀或奈米片,且通道組件釋放製程亦可視作片狀物形成製程。通道組件如通道層208可沿著Z方向垂直堆疊。所有的通道組件如通道層208與介電鰭狀物218橫向隔有覆層220的厚度所定義的距離。選擇性移除犧牲層206與覆層220的實施方式可為選擇性乾蝕刻、選擇性濕蝕刻、或其他選擇性蝕刻製程。在一些實施例中,選擇性濕蝕刻包括氫氧化銨、氟化氫、過氧化氫、或上述組合(APM蝕刻可包含氫氧化銨、過氧化氫、與水的混合物)。在一些其他實施例中,選擇性移除包括氧化矽鍺,之後移除矽鍺氧化物。舉例來 說,可在臭氧清潔提供氧化之後,採用蝕刻劑如氫氧化銨移除矽鍺氧化物。 The channel layer 208 released in step 130 can also be regarded as a channel component. In the embodiment, the channel components such as the channel layer 208 are in the form of sheets or nanosheets, and the channel component release process can also be regarded as a sheet forming process. Channel components such as channel layer 208 may be vertically stacked along the Z direction. All channel components such as channel layer 208 and dielectric fins 218 are laterally separated by a distance defined by the thickness of cladding layer 220 . The implementation of selectively removing the sacrificial layer 206 and the cladding layer 220 may be selective dry etching, selective wet etching, or other selective etching processes. In some embodiments, the selective wet etch includes ammonium hydroxide, hydrogen fluoride, hydrogen peroxide, or a combination thereof (APM etch may include a mixture of ammonium hydroxide, hydrogen peroxide, and water). In some other embodiments, the selective removal includes silicon germanium oxide, followed by removal of the silicon germanium oxide. For example said that after ozone cleaning provides oxidation, an etchant such as ammonium hydroxide can be used to remove the silicon germanium oxide.

如圖17A至17D所示,方法100的步驟132(圖1B)形成閘極結構274於閘極溝槽266中,以接合通道組件如通道層208。閘極結構274亦可視作功能閘極結構或金屬閘極結構。在區域I及II中,個別的閘極結構274可包覆每一通道組件如通道層208。閘極結構274可各自包括閘極介電層276位於通道組件如通道層208上,以及閘極層278位於閘極介電層276上。在一些實施例中,閘極介電層276包括界面層與高介電常數的介電層。界面層可包括氧化矽,其可由預清潔製程所形成。預清潔製程的例子可包括採用RCA SC-1(氨、過氧化氫、與水)及/或RCA SC-2(氯化氫、過氧化氫、與水)。預清潔製程可氧化通道組件如通道層208的露出表面,以形成界面層。 As shown in FIGS. 17A-17D , step 132 of method 100 (FIG. 1B) forms gate structure 274 in gate trench 266 to engage channel components such as channel layer 208. The gate structure 274 can also be regarded as a functional gate structure or a metal gate structure. In regions I and II, individual gate structures 274 may cover each channel component such as channel layer 208. Gate structures 274 may each include a gate dielectric layer 276 on a channel component such as channel layer 208 , and a gate layer 278 on gate dielectric layer 276 . In some embodiments, the gate dielectric layer 276 includes an interface layer and a high-k dielectric layer. The interface layer may include silicon oxide, which may be formed by a pre-cleaning process. Examples of pre-cleaning processes may include the use of RCA SC-1 (ammonia, hydrogen peroxide, and water) and/or RCA SC-2 (hydrogen chloride, hydrogen peroxide, and water). The pre-cleaning process may oxidize the exposed surfaces of channel components such as channel layer 208 to form an interface layer.

接著採用原子層沉積、化學氣相沉積、及/或其他合適方法,沉積高介電常數的介電層於界面層上。高介電常數的介電層可包括高介電常數的介電材料。在一實施例中,高介電常數的介電層可包括氧化鉿。高介電常數的介電層可改為包括其他高介電常數的介電層,比如氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、二氧化鋯、氧化鋯矽、氧化鑭、氧化鋁、氧化鋯、氧化釔、鈦酸鍶、鈦酸鋇、氧化鋇鋯、氧化鉿鑭、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、鈦酸鋇鍶、氮化矽、氮氧化矽、上述之組合、或其他合適材料。在形成閘極介電層276之後,可沉積閘極層278於閘極介電層276上。閘極層278可為多層結構,其包含至少一功函數層與金屬填充層。舉例來說,至少一功函數層可包括氮化鈦、鈦鋁、氮化鈦鋁、氮化鉭、鉭鋁、氮化鉭鋁、碳化鉭鋁、碳氮化鉭、或碳化鉭。金屬填充層可包括鋁、鎢、鎳、鈦、釕、鈷、鉑、氮化鉭矽、銅、其他耐火金屬、其他合適的金屬材料、或上述之組合。在多種實施例中,閘極層278 的形成方法可為原子層沉積、物理氣相沉積、化學氣相沉積、電子束蒸鍍、或其他合適製程。雖然未圖示,但可沉積閘極結構274如接合閘極結構,接著進行回蝕刻直到介電鰭狀物218將接合閘極結構分成彼此分開的閘極結構274。介電鰭狀物218亦可提供電性隔離於相鄰的閘極結構274之間。回蝕刻閘極結構274的步驟可包括選擇性濕蝕刻製程,其可採用硝酸、氯化氫、硫酸、氫氧化銨、過氧化氫、或上述之組合。雖然所述實施例在回蝕刻製程之後的閘極結構274的上表面可與高介電常數的介電層226的下表面齊平,其他實施例在回蝕刻製程之後的閘極結構274的上表面可低於高介電常數的介電層226的下表面。回蝕刻閘極結構274的方法亦可包括回蝕刻通道區中的介電鰭狀物218的高介電常數的介電層226。 Then, atomic layer deposition, chemical vapor deposition, and/or other suitable methods are used to deposit a high-k dielectric layer on the interface layer. The high-k dielectric layer may include a high-k dielectric material. In one embodiment, the high-k dielectric layer may include hafnium oxide. The high-k dielectric layer may instead include other high-k dielectric layers, such as titanium oxide, hafnium zirconium oxide, tantalum oxide, hafnium silicon oxide, zirconium dioxide, zirconium silicon oxide, lanthanum oxide, aluminum oxide , zirconium oxide, yttrium oxide, strontium titanate, barium titanate, barium zirconium oxide, hafnium lanthanum oxide, lanthanum silicon oxide, aluminum silicon oxide, hafnium tantalum oxide, hafnium titanium oxide, barium strontium titanate, silicon nitride, oxynitride Silicon, combinations of the above, or other suitable materials. After gate dielectric layer 276 is formed, gate layer 278 may be deposited on gate dielectric layer 276 . The gate layer 278 may be a multi-layer structure including at least one work function layer and a metal filling layer. For example, the at least one work function layer may include titanium nitride, titanium aluminum, titanium aluminum nitride, tantalum nitride, tantalum aluminum, tantalum aluminum nitride, tantalum aluminum carbide, tantalum carbonitride, or tantalum carbide. The metal filling layer may include aluminum, tungsten, nickel, titanium, ruthenium, cobalt, platinum, tantalum silicon nitride, copper, other refractory metals, other suitable metal materials, or combinations thereof. In various embodiments, gate layer 278 The formation method may be atomic layer deposition, physical vapor deposition, chemical vapor deposition, electron beam evaporation, or other suitable processes. Although not shown, gate structure 274 such as a bond gate structure may be deposited and then etched back until dielectric fins 218 separate the bond gate structure into separate gate structures 274. Dielectric fins 218 may also provide electrical isolation between adjacent gate structures 274 . The step of etching back the gate structure 274 may include a selective wet etching process, which may use nitric acid, hydrogen chloride, sulfuric acid, ammonium hydroxide, hydrogen peroxide, or a combination thereof. Although in the described embodiment, the upper surface of the gate structure 274 after the etch-back process may be flush with the lower surface of the high-k dielectric layer 226 , other embodiments may have the upper surface of the gate structure 274 after the etch-back process be flush with the lower surface of the high-k dielectric layer 226 . The surface may be lower than the lower surface of the high-k dielectric layer 226 . The method of etching back the gate structure 274 may also include etching back the high-k dielectric layer 226 of the dielectric fin 218 in the channel region.

如圖18A至18D所示,方法100的步驟134(圖1B)形成金屬蓋層280、自對準蓋層282、與閘極切割結構284於工件200的前側上。在一些實施例中,金屬蓋層280可包括鈦、氮化鈦、氮化鉭、鎢、釕、鈷、或鎳,且其沉積方法可採用物理氣相沉積、化學氣相沉積、或有機金屬化學氣相沉積。在一實施例中,金屬蓋層280包括鎢如無氟鎢,且其沉積方法可為物理氣相沉積。在一些其他實施例中,金屬蓋層280的沉積方法為有機金屬化學氣相沉積,以選擇性沉積金屬蓋層280於閘極結構274上。在沉積金屬蓋層280之後,可沉積自對準蓋層282於工件200上,其沉積方法可為化學氣相沉積、電漿輔助化學氣相沉積、或其他合適的沉積製程。自對準蓋層282可包括氧化矽、氮化矽、碳化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或其他合適的介電材料。接著進行光微影製程與蝕刻製程,可蝕刻沉積的自對準蓋層282以形成閘極蓋開口而露出介電鰭狀物218的上表 面,包括移除高介電常數的介電層226。之後可沉積並以化學機械研磨製程平坦化介電材料,以形成閘極切割結構284於閘極切割開口中。閘極切割結構284的介電材料的沉積方法可採用高密度電漿化學氣相沉積、化學氣相沉積、原子層沉積、或合適的沉積技術。在一些例子中,閘極切割結構284可包括氧化矽,氮化矽、碳化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿、或其他合適的介電材料。在一些實施例中,閘極切割結構284與自對準蓋層282可具有不同組成以導入蝕刻選擇性。 As shown in FIGS. 18A to 18D , step 134 ( FIG. 1B ) of the method 100 forms a metal capping layer 280 , a self-aligned capping layer 282 , and a gate cutting structure 284 on the front side of the workpiece 200 . In some embodiments, the metal capping layer 280 may include titanium, titanium nitride, tantalum nitride, tungsten, ruthenium, cobalt, or nickel, and its deposition method may use physical vapor deposition, chemical vapor deposition, or organic metal deposition. Chemical vapor deposition. In one embodiment, the metal capping layer 280 includes tungsten, such as fluorine-free tungsten, and its deposition method may be physical vapor deposition. In some other embodiments, the metal capping layer 280 is deposited by organic metal chemical vapor deposition to selectively deposit the metal capping layer 280 on the gate structure 274 . After the metal capping layer 280 is deposited, the self-aligned capping layer 282 can be deposited on the workpiece 200, and the deposition method can be chemical vapor deposition, plasma-assisted chemical vapor deposition, or other suitable deposition processes. The self-aligned capping layer 282 may include silicon oxide, silicon nitride, silicon carbide, silicon carbonitride, silicon oxynitride, silicon oxycarbonitride, aluminum oxide, aluminum nitride, aluminum oxynitride, zirconium oxide, zirconium nitride, Zirconium aluminum oxide, hafnium oxide, or other suitable dielectric material. Then, a photolithography process and an etching process are performed to etch the deposited self-aligned capping layer 282 to form a gate cap opening to expose the upper surface of the dielectric fin 218 surface, including removing the high-k dielectric layer 226 . The dielectric material may then be deposited and planarized using a chemical mechanical polishing process to form gate cut structures 284 in the gate cut openings. The dielectric material of the gate cutting structure 284 may be deposited using high-density plasma chemical vapor deposition, chemical vapor deposition, atomic layer deposition, or a suitable deposition technology. In some examples, the gate cutting structure 284 may include silicon oxide, silicon nitride, silicon carbide, silicon carbonitride, silicon oxynitride, silicon oxycarbonitride, aluminum oxide, aluminum nitride, aluminum oxynitride, zirconium oxide, Zirconium nitride, zirconium aluminum oxide, hafnium oxide, or other suitable dielectric material. In some embodiments, the gate cut structure 284 and the self-aligned capping layer 282 may have different compositions to introduce etch selectivity.

如圖18A至18D所示,方法100的步驟136(圖1B)對工件200進行後續製作製程。舉例來說,可進行後段製程以形成內連線層如前側電源軌於工件200的前側上。在一實施例中,可採用鑲嵌製程、雙鑲嵌製程、金屬圖案化製程、或其他合適製程形成前側電源軌。前側電源軌可包括鎢、鈷、鉬、釕、銅、鎳、鈦、鉭、氮化鈦、氮化鉭、或其他金屬,且其沉積方法可為化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、或其他合適製程。步驟136亦可包括形成鈍化層於工件200的前側上、進行其他後段製程、並移除背側載板。 As shown in FIGS. 18A to 18D , step 136 ( FIG. 1B ) of the method 100 performs subsequent manufacturing processes on the workpiece 200 . For example, back-end processes may be performed to form interconnect layers such as front-side power rails on the front side of the workpiece 200 . In one embodiment, a damascene process, a dual damascene process, a metal patterning process, or other suitable processes may be used to form the front-side power rail. The front-side power rail can include tungsten, cobalt, molybdenum, ruthenium, copper, nickel, titanium, tantalum, titanium nitride, tantalum nitride, or other metals, and its deposition method can be chemical vapor deposition, physical vapor deposition, atomic layer deposition, electroplating, or other suitable processes. Step 136 may also include forming a passivation layer on the front side of the workpiece 200, performing other back-end processes, and removing the backside carrier.

如圖18A至18D所示,一旦完成方法100,即可分別形成多個全繞式閘極電晶體於區域I與區域II中。全繞式閘極電晶體可各自包括閘極結構274以接合一或多個通道組件如通道層208。相鄰的全繞式閘極電晶體可彼此電性隔有介電鰭狀物218以及著陸於介電鰭狀物218上的閘極切割結構284。具體而言,區域I中的全繞式閘極電晶體的通道組件如通道層208,比區域II中的全繞式閘極電晶體的通道組件如通道層208薄,進而平衡裝置效能如汲極誘發能障下降與有效驅動電流。此效能平衡有利於改善互補式金氧半裝置中的速度效能。藉由蝕刻達到通道組件厚度差異,可更佳地控制厚度而不影響n型金氧半區與p型金氧半 區之間的能障負載(如裝置高度),其可能發生在採用磊晶成長製程控制厚度的情況。 As shown in FIGS. 18A to 18D , once the method 100 is completed, a plurality of fully wound gate transistors can be formed in the regions I and II respectively. All-wound gate transistors may each include a gate structure 274 to engage one or more channel components such as channel layer 208 . Adjacent fully wound gate transistors may be electrically separated from each other by dielectric fins 218 and gate cut structures 284 landing on the dielectric fins 218 . Specifically, the channel components, such as the channel layer 208, of the fully wound gate transistor in Region I are thinner than the channel components, such as the channel layer 208, of the fully wound gate transistor in Region II, thereby balancing device performance such as drain. Extremely induced energy barrier decrease and effective driving current. This performance balance facilitates improved speed performance in complementary metal-oxide-semiconductor devices. By achieving the difference in thickness of the channel components through etching, the thickness can be better controlled without affecting the n-type metal oxide half region and p-type metal oxide half region. Energy barrier load (such as device height) between regions, which may occur when the epitaxial growth process is used to control thickness.

本發提供許多不同實施例。舉例來說,本發明一實施例提供的半導體裝置的形成方法包括形成p型井與n型井於基板中。方法更包括形成交錯的多個第一半導體材料層與多個第二半導體材料層的一堆疊於該p型井與該n型井上,第一半導體材料層具有第一厚度,第二半導體材料層具有第二厚度,且第二厚度不同於第一厚度。方法更包括退火交錯的第一半導體材料層與第二半導體材料層的堆疊。在一些實施例中,方法更包括圖案化堆疊以形成多個鰭狀結構,鰭狀結構包括第一鰭狀結構於n型井上以及第二鰭狀結構於p型井上。方法更包括進行蝕刻製程以自第一鰭狀結構與第二鰭狀結構移除第二半導體材料層,其中蝕刻製程之後的第一鰭狀結構中的第一半導體材料層與第二鰭狀結構中的第一半導體材料層的厚度不同。方法更包括形成金屬閘極於第一鰭狀結構與第二鰭狀結構上。 The invention provides many different embodiments. For example, a method for forming a semiconductor device provided by an embodiment of the present invention includes forming p-type wells and n-type wells in a substrate. The method further includes forming a stack of a plurality of staggered first semiconductor material layers and a plurality of second semiconductor material layers on the p-type well and the n-type well, the first semiconductor material layer having a first thickness, and the second semiconductor material layer Has a second thickness, and the second thickness is different from the first thickness. The method further includes annealing the stack of alternating first and second semiconductor material layers. In some embodiments, the method further includes patterning the stack to form a plurality of fin structures, the fin structures including a first fin structure on the n-type well and a second fin structure on the p-type well. The method further includes performing an etching process to remove the second semiconductor material layer from the first fin structure and the second fin structure, wherein the first semiconductor material layer and the second fin structure in the first fin structure after the etching process The first semiconductor material layer in has a different thickness. The method further includes forming a metal gate on the first fin structure and the second fin structure.

在一些實施例中,第一厚度與第二厚度的比例為約1.4至約1.5。 In some embodiments, the ratio of the first thickness to the second thickness is about 1.4 to about 1.5.

在一些實施例中,退火步驟使n型井中的多個第一摻質擴散至p型井上的第二半導體材料層中,並使p型井中的多個第二摻質擴散至n型井上的第二半導體材料層中。 In some embodiments, the annealing step diffuses a plurality of first dopants in the n-type well into a second layer of semiconductor material over the p-type well and diffuses a plurality of second dopants in the p-type well into the layer over the n-type well. in the second semiconductor material layer.

在一些實施例中,第一摻質降低p型井上的第二半導體材料層的蝕刻速率。 In some embodiments, the first dopant reduces the etch rate of the second layer of semiconductor material over the p-type well.

在一些實施例中,蝕刻步驟更包括在移除p型井上的第二半導體材料層之後,蝕刻p型井上的第一半導體材料層的一部分。 In some embodiments, the etching step further includes etching a portion of the first semiconductor material layer on the p-type well after removing the second semiconductor material layer on the p-type well.

在一些實施例中,蝕刻製程為全面蝕刻製程,其同時進行於第一 鰭狀結構與第二鰭狀結構上。 In some embodiments, the etching process is a comprehensive etching process, which is performed simultaneously with the first On the fin-like structure and the second fin-like structure.

在一些實施例中,形成p型井的步驟更包括:進行離子佈植製程以摻雜硼或四氟化硼至基板。 In some embodiments, forming the p-type well further includes performing an ion implantation process to dope boron or boron tetrafluoride into the substrate.

在另一實施例中,半導體裝置的形成方法包括接收基板,其包括p型井與n型井。方法更包括形成多個半導體層的堆疊於基板的p型井與n型井上,半導體層的堆疊包括交錯的多個第一半導體材料層與多個第二半導體材料層。在一些實施例中,方法更包括對半導體層的堆疊進行退火製程,以自p型井驅動摻質至p型井上的第二半導體材料層中。方法更包括蝕刻半導體層的堆疊,以形成第一鰭狀結構於p型井上,並形成第二鰭狀結構於n型井上。在一些例子中,方法更包括自第一鰭狀結構與第二鰭狀結構移除第二半導體材料層,其中移除第二半導體材料層之後的第一鰭狀結構中的第一半導體材料層的厚度不同於第二鰭狀結構中的第一半導體材料層的厚度。 In another embodiment, a method of forming a semiconductor device includes receiving a substrate including a p-type well and an n-type well. The method further includes forming a plurality of semiconductor layers stacked on the p-type well and the n-type well of the substrate. The stack of semiconductor layers includes a plurality of staggered first semiconductor material layers and a plurality of second semiconductor material layers. In some embodiments, the method further includes performing an annealing process on the stack of semiconductor layers to drive dopants from the p-type well into the second semiconductor material layer above the p-type well. The method further includes etching the stack of semiconductor layers to form a first fin structure on the p-type well and a second fin structure on the n-type well. In some examples, the method further includes removing a second semiconductor material layer from the first fin structure and the second fin structure, wherein the first semiconductor material layer in the first fin structure after removing the second semiconductor material layer is different from the thickness of the first semiconductor material layer in the second fin structure.

在一些實施例中,移除第二半導體材料層的步驟更包括:同時自第一鰭狀結構移除第二半導體材料層的第一部分,並自第二鰭狀結構移除第二半導體材料層的第二部分,其中第一部分的移除速率大於第二部分的移除速率;以及自第一鰭狀結構移除第一半導體材料層的一部分。 In some embodiments, removing the second semiconductor material layer further includes simultaneously removing a first portion of the second semiconductor material layer from the first fin structure and removing the second semiconductor material layer from the second fin structure. a second portion, wherein a removal rate of the first portion is greater than a removal rate of the second portion; and removing a portion of the first semiconductor material layer from the first fin structure.

在一些實施例中,方法更包括移除第一鰭狀結構的第一半導體材料層的部分,直到第一鰭狀結構的第一半導體材料層比第二鰭狀結構的第一半導體材料層薄約0.5nm。 In some embodiments, the method further includes removing a portion of the first semiconductor material layer of the first fin structure until the first semiconductor material layer of the first fin structure is thinner than the first semiconductor material layer of the second fin structure. About 0.5nm.

在一些實施例中,退火製程更包括自n型井驅動摻質至n型井上的第二半導體材料層中。 In some embodiments, the annealing process further includes driving dopants from the n-type well into the second semiconductor material layer above the n-type well.

在一些實施例中,形成半導體層的堆疊的步驟更包括形成第一厚 度的第一半導體材料層;以及形成第二厚度的第二半導體材料層,其中第一厚度大於第二厚度。 In some embodiments, forming the stack of semiconductor layers further includes forming a first thick a first semiconductor material layer of a second thickness; and forming a second semiconductor material layer of a second thickness, wherein the first thickness is greater than the second thickness.

在一些實施例中,第一厚度比第二厚度大了約1.4倍至約1.5倍。 In some embodiments, the first thickness is about 1.4 times to about 1.5 times greater than the second thickness.

在一些實施例中,方法更包括形成三個第一半導體材料層。 In some embodiments, the method further includes forming three first semiconductor material layers.

在又一實施例中,半導體裝置包括基板。半導體裝置更包括第一鰭狀結構,位於基板的第一區上且包括第一組的多個第一通道層,而第一通道層各自具有第一厚度。裝置更包括第二鰭狀結構,位於基板的第二區上且包括第二組的多個第二通道層,第二通道層各自具有第二厚度,且第二厚度大於第一厚度。在一些實施例中,半導體裝置更包括閘極結構,位於第一鰭狀結構與第二鰭狀結構上,並包覆第一組的第一通道層與第二組的第二通道層。 In yet another embodiment, a semiconductor device includes a substrate. The semiconductor device further includes a first fin structure located on the first region of the substrate and including a first group of a plurality of first channel layers, and each of the first channel layers has a first thickness. The device further includes a second fin structure located on the second region of the substrate and including a second group of a plurality of second channel layers, each of the second channel layers having a second thickness, and the second thickness is greater than the first thickness. In some embodiments, the semiconductor device further includes a gate structure located on the first fin structure and the second fin structure and covering the first group of first channel layers and the second group of second channel layers.

在一些實施例中,第一區更包括p型井位於基板之中與第一鰭狀結構之下。 In some embodiments, the first region further includes a p-type well located in the substrate and under the first fin structure.

在一些實施例中,第二區更包括n型井位於基板之中與第二鰭狀結構之下。 In some embodiments, the second region further includes an n-type well located in the substrate and under the second fin structure.

在一些實施例中,半導體裝置更包括第二鰭狀結構的第二通道層之間的距離,且第二厚度比距離大了約1.4倍。 In some embodiments, the semiconductor device further includes a distance between the second channel layers of the second fin structure, and the second thickness is approximately 1.4 times greater than the distance.

在一些實施例中,第一厚度比距離大了不到約1.4倍。 In some embodiments, the first thickness is less than about 1.4 times greater than the distance.

在一些實施例中,第一鰭狀結構與第二鰭狀結構等高。 In some embodiments, the first fin-shaped structure and the second fin-shaped structure are of the same height.

上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未 脫離本發明之精神與範疇的前提下進行改變、替換、或更動。 The features of the above embodiments are helpful for those with ordinary skill in the art to understand the present invention. Those with ordinary skill in the art should understand that the present invention can be used as a basis to design and change other processes and structures to achieve the same purposes and/or the same advantages of the above embodiments. Those with ordinary knowledge in the art should also understand that these equivalent substitutions do not depart from the spirit and scope of the present invention, and may be used in the future. Changes, substitutions, or alterations may be made without departing from the spirit and scope of the invention.

D-D:剖線 D-D: section line

200:工件 200:Artifact

202:基板 202:Substrate

208:通道層 208: Channel layer

252:閘極間隔物 252: Gate spacer

258:內側間隔物結構 258:Inner spacer structure

260:源極/汲極結構 260: Source/drain structure

270:接點蝕刻停止層 270: Contact etch stop layer

272:層間介電層 272:Interlayer dielectric layer

274:閘極結構 274: Gate structure

276:閘極介電層 276: Gate dielectric layer

278:閘極層 278: Gate layer

280:金屬蓋層 280:Metal cover

282:自對準蓋層 282:Self-aligned cover

Claims (10)

一種半導體裝置的形成方法,包括:形成一p型井與一n型井於一基板中;形成交錯的多個第一半導體材料層與多個第二半導體材料層的一堆疊於該p型井與該n型井上,該些第一半導體材料層具有一第一厚度,該些第二半導體材料層具有一第二厚度,且該第二厚度不同於該第一厚度;退火交錯的該些第一半導體材料層與該些第二半導體材料層的該堆疊;圖案化該堆疊以形成多個鰭狀結構,該些鰭狀結構包括一第一鰭狀結構於該n型井上以及一第二鰭狀結構於該p型井上;進行一蝕刻製程以自該第一鰭狀結構與該第二鰭狀結構移除該些第二半導體材料層,其中該蝕刻製程之後的該第一鰭狀結構中的該些第一半導體材料層與該第二鰭狀結構中的該些第一半導體材料層的厚度不同;以及形成一金屬閘極於該第一鰭狀結構與該第二鰭狀結構上。 A method of forming a semiconductor device, including: forming a p-type well and an n-type well in a substrate; forming a stack of a plurality of staggered first semiconductor material layers and a plurality of second semiconductor material layers in the p-type well On the n-type well, the first semiconductor material layers have a first thickness, the second semiconductor material layers have a second thickness, and the second thickness is different from the first thickness; the annealing staggered The stack of a semiconductor material layer and the second semiconductor material layers; patterning the stack to form a plurality of fin structures, the fin structures including a first fin structure on the n-type well and a second fin -like structure on the p-type well; perform an etching process to remove the second semiconductor material layers from the first fin-like structure and the second fin-like structure, wherein the first fin-like structure after the etching process The thicknesses of the first semiconductor material layers and the first semiconductor material layers in the second fin-shaped structure are different; and a metal gate is formed on the first fin-shaped structure and the second fin-shaped structure. 如請求項1之半導體裝置的形成方法,其中該第一厚度與該第二厚度的比例為約1.4至約1.5。 The method of forming a semiconductor device as claimed in claim 1, wherein a ratio of the first thickness to the second thickness is about 1.4 to about 1.5. 如請求項1或2之半導體裝置的形成方法,其中退火步驟使該n型井中的多個第一摻質擴散至該n型井上的該些第二半導體材料層中,並使該p型井中的多個第二摻質擴散至該p型井上的該些第二半導體材料層中。 The method of forming a semiconductor device as claimed in claim 1 or 2, wherein the annealing step causes the plurality of first dopants in the n-type well to diffuse into the second semiconductor material layers on the n-type well, and causes the p-type well to A plurality of second dopants are diffused into the second semiconductor material layers on the p-type well. 如請求項3之半導體裝置的形成方法,其中該些第一摻質降低該n型井上的該些第二半導體材料層的蝕刻速率。 The method of forming a semiconductor device according to claim 3, wherein the first dopants reduce the etching rate of the second semiconductor material layers on the n-type well. 一種半導體裝置的形成方法,包括:接收一基板,其包括一p型井與一n型井; 形成多個半導體層的一堆疊於該基板的該p型井與該n型井上,該些半導體層的該堆疊包括交錯的多個第一半導體材料層與多個第二半導體材料層;對該些半導體層的該堆疊進行一退火製程,以自該p型井驅動摻質至該p型井上的該些第二半導體材料層中;蝕刻該些半導體層的該堆疊,以形成一第一鰭狀結構於該p型井上,並形成一第二鰭狀結構於該n型井上;以及自該第一鰭狀結構與該第二鰭狀結構移除該些第二半導體材料層,其中移除該些第二半導體材料層之後的該第一鰭狀結構中的該些第一半導體材料層的厚度不同於該第二鰭狀結構中的該些第一半導體材料層的厚度。 A method of forming a semiconductor device includes: receiving a substrate including a p-type well and an n-type well; Forming a stack of a plurality of semiconductor layers on the p-type well and the n-type well of the substrate, the stack of the semiconductor layers includes a plurality of staggered first semiconductor material layers and a plurality of second semiconductor material layers; The stack of semiconductor layers undergoes an annealing process to drive dopants from the p-type well into the second semiconductor material layers on the p-type well; the stack of semiconductor layers is etched to form a first fin a second fin-shaped structure on the p-type well, and form a second fin-shaped structure on the n-type well; and remove the second semiconductor material layers from the first fin-shaped structure and the second fin-shaped structure, wherein The thickness of the first semiconductor material layers in the first fin-shaped structure behind the second semiconductor material layers is different from the thickness of the first semiconductor material layers in the second fin-shaped structure. 如請求項5之半導體裝置的形成方法,其中移除該些第二半導體材料層的步驟更包括:同時自該第一鰭狀結構移除該些第二半導體材料層的一第一部分,並自該第二鰭狀結構移除該些第二半導體材料層的一第二部分,其中該第一部分的移除速率大於該第二部分的移除速率;以及自該第一鰭狀結構移除該些第一半導體材料層的一部分。 The method of forming a semiconductor device according to claim 5, wherein the step of removing the second semiconductor material layers further includes: simultaneously removing a first portion of the second semiconductor material layers from the first fin structure, and The second fin structure removes a second portion of the second semiconductor material layers, wherein the removal rate of the first portion is greater than the removal rate of the second portion; and removing the first portion from the first fin structure part of the first layers of semiconductor material. 如請求項6之半導體裝置的形成方法,更包括移除該第一鰭狀結構的該些第一半導體材料層的該部分,直到該第一鰭狀結構的該些第一半導體材料層比該第二鰭狀結構的該些第一半導體材料層薄約0.5nm。 The method of forming a semiconductor device according to claim 6, further comprising removing the portion of the first semiconductor material layers of the first fin-shaped structure until the first semiconductor material layers of the first fin-shaped structure are smaller than the first semiconductor material layers of the first fin-shaped structure. The first semiconductor material layers of the second fin-shaped structure are about 0.5nm thin. 一種半導體裝置,包括:一基板;一第一鰭狀結構,位於該基板的一第一區上且包括一第一組的多個第一通道層,而該些第一通道層各自具有一第一厚度,其中該些第一通道層具有一p型摻 質;一第二鰭狀結構,位於該基板的一第二區上且包括一第二組的多個第二通道層,該些第二通道層各自具有一第二厚度,且該第二厚度大於該第一厚度,其中該些第二通道層具有一n型摻質;以及一閘極結構,位於該第一鰭狀結構與該第二鰭狀結構上,並包覆該第一組的該些第一通道層與該第二組的該些第二通道層。 A semiconductor device includes: a substrate; a first fin-shaped structure located on a first area of the substrate and including a first group of a plurality of first channel layers, and each of the first channel layers has a first a thickness, wherein the first channel layers have a p-type doping quality; a second fin-shaped structure located on a second area of the substrate and including a second group of a plurality of second channel layers, each of the second channel layers has a second thickness, and the second thickness Greater than the first thickness, wherein the second channel layers have an n-type dopant; and a gate structure is located on the first fin structure and the second fin structure and covers the first group the first channel layers and the second group of second channel layers. 如請求項8之半導體裝置,其中該第一區更包括一p型井位於該基板之中與該第一鰭狀結構之下。 The semiconductor device of claim 8, wherein the first region further includes a p-type well located in the substrate and under the first fin structure. 如請求項8或9之半導體裝置,其中該第二區更包括一n型井位於該基板之中與該第二鰭狀結構之下。The semiconductor device of claim 8 or 9, wherein the second region further includes an n-type well located in the substrate and under the second fin structure.
TW111122177A 2021-07-08 2022-06-15 Semiconductor device and method of forming the same TWI822111B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163219636P 2021-07-08 2021-07-08
US63/219,636 2021-07-08
US17/662,380 US20230010541A1 (en) 2021-07-08 2022-05-06 Gate all around device and method of forming the same
US17/662,380 2022-05-06

Publications (2)

Publication Number Publication Date
TW202303746A TW202303746A (en) 2023-01-16
TWI822111B true TWI822111B (en) 2023-11-11

Family

ID=84799038

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111122177A TWI822111B (en) 2021-07-08 2022-06-15 Semiconductor device and method of forming the same

Country Status (3)

Country Link
US (1) US20230010541A1 (en)
CN (1) CN218482246U (en)
TW (1) TWI822111B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11355363B2 (en) * 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200098879A1 (en) * 2018-09-26 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack gaa device
US20200168715A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-All-Around (GAA) Method and Devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200098879A1 (en) * 2018-09-26 2020-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack gaa device
US20200168715A1 (en) * 2018-11-28 2020-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-All-Around (GAA) Method and Devices

Also Published As

Publication number Publication date
CN218482246U (en) 2023-02-14
US20230010541A1 (en) 2023-01-12
TW202303746A (en) 2023-01-16

Similar Documents

Publication Publication Date Title
TWI692104B (en) Semiconductor device and fabricating method thereof
TWI570915B (en) Semiconductor device and method for fabricating fin fet device
TWI777634B (en) Semiconductor device and method of forming the same
KR102209949B1 (en) Semiconductor structure cutting process and structures formed thereby
TW202036907A (en) Semiconductor device
TW202127663A (en) Semiconductor device
TWI828962B (en) Semiconductor structure and method for forming the same
TW202027220A (en) Semiconductor structures and methods of forming the same
TWI807398B (en) Semiconductor device and method for manufacturing the same
TWI801864B (en) Semiconductor devices and methods for forming the same
TWI822111B (en) Semiconductor device and method of forming the same
TW202220210A (en) Semiconductor device
TW202217974A (en) Semiconductor device and method of forming same
TW202201558A (en) Methods for manufacturing semiconductor devices
TWI805260B (en) Semiconductor device and method for manufacturing the same
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
TW202236437A (en) Method of forming semiconductor device
US11515403B2 (en) Semiconductor device and method
KR20210141312A (en) Semiconductor device and method
US11557518B2 (en) Gapfill structure and manufacturing methods thereof
TWI799185B (en) Semiconductor structure and method of forming the same
US11942479B2 (en) Semiconductor device and manufacturing method thereof
TWI807706B (en) Semiconductor device and method of manufacturing same
TWI831110B (en) Semiconductor device and method
US20230047598A1 (en) Semiconductor devices and methods of manufacture