TW202145317A - 半導體結構與其形成方法 - Google Patents

半導體結構與其形成方法 Download PDF

Info

Publication number
TW202145317A
TW202145317A TW110102260A TW110102260A TW202145317A TW 202145317 A TW202145317 A TW 202145317A TW 110102260 A TW110102260 A TW 110102260A TW 110102260 A TW110102260 A TW 110102260A TW 202145317 A TW202145317 A TW 202145317A
Authority
TW
Taiwan
Prior art keywords
layer
inner spacer
semiconductor
air gap
semiconductor structure
Prior art date
Application number
TW110102260A
Other languages
English (en)
Other versions
TWI783350B (zh
Inventor
姚茜甯
楊柏峰
世海 楊
程冠倫
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/107,374 external-priority patent/US11502183B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202145317A publication Critical patent/TW202145317A/zh
Application granted granted Critical
Publication of TWI783350B publication Critical patent/TWI783350B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

半導體結構包括多個半導體層的堆疊,位於基板上;金屬閘極堆疊,具有頂部位於半導體層的堆疊上,以及底部與半導體層的堆疊交錯;內側間隔物,位於金屬閘極堆疊的底部之側壁上;氣隙,封閉於內側間隔物中;以及磊晶的源極/汲極結構,位於內側間隔物上並與金屬閘極堆疊相鄰。

Description

半導體結構與其形成方法
本發明實施例一般關於半導體裝置,更特別關於場效電晶體如三維全繞式閘極場效電晶體、鰭狀場效電晶體、及/或其他場效電晶體。
半導體產業已經歷快速成長。半導體材料與設計的技術進展使每一代的半導體裝置比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(比如單位晶片面基的內連線裝置數目)通常隨著幾何尺寸(比如採用的製作製程所能產生的最小構件或線路)縮小而增加。尺寸縮小的製程通常有利於增加產能並降低相關成本。但這些進展亦增加製造與處理半導體裝置的複雜度。 多閘極電晶體如全繞式閘極電晶體已結合至多種記憶體與核心裝置,以減少積體電路晶片腳位並維持合理的製程容許範圍。如同其他半導體裝置,尺寸減少亦增加製造全繞式閘極電晶體的複雜度。在此例中,隨著裝置尺寸持續減少,以內側間隔物減少寄生電容的挑戰性更高。至少為了此理由,需改良全繞式閘極電晶體中的內側間隔物的製作方法。
本發明一實施例提供半導體結構的形成方法,包括形成虛置閘極堆疊於自半導體基板凸起的鰭狀物上,其中鰭狀物包括交錯的多個通道層與多個非通道層的多層堆疊;形成源極/汲極凹陷於多層堆疊中;使每一非通道層的一部分凹陷,以形成溝槽;沉積內側間隔物層於溝槽中,其中沉積步驟形成氣隙於內側間隔物層中;形成磊晶的源極/汲極結構於源極/汲極凹陷之中與內側間隔物層之上;自多層堆疊移除非通道層,以形成多個開口夾設於內側間隔物層之間;以及形成金屬閘極堆疊於開口中,並取代虛置閘極堆疊。
本發明另一實施例提供半導體結構,包括多個半導體層的堆疊,位於基板上;金屬閘極堆疊,具有頂部位於半導體層的堆疊上,以及底部與半導體層的堆疊交錯;內側間隔物,位於金屬閘極堆疊的底部之側壁上;氣隙,封閉於內側間隔物中;以及磊晶的源極/汲極結構,位於內側間隔物上並與金屬閘極堆疊相鄰。
本發明又一實施例提供半導體結構,包括多個半導體層,位於基板上;高介電常數的閘極介電層與金屬閘極堆疊,位於半導體層之間;源極/汲極結構,與高介電常數的閘極介電層與金屬閘極堆疊相鄰;以及內側間隔物,設置以分開源極/汲極結構與高介電常數的閘極介電層與金屬閘極堆疊,其中內側間隔物包括第一介電層位於高介電常數的閘極介電層與金屬閘極堆疊的側壁上,氣隙位於第一介電層中,以及第二介電層位於第一介電層上。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
下述內容提供的不同實施例或例子可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。
此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/-10%。舉例來說,用語「約5 nm」包含的尺寸範圍介於4.5 nm至5.5 nm之間。此外,本發明之多種實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
本發明實施例一般關於半導體裝置,更特別關於場效電晶體如三維全繞式閘極場效電晶體、鰭狀場效電晶體、及/或其他場效電晶體。一般而言,全繞式閘極場效電晶體包括多個垂直堆疊的片狀物(如奈米片)、線狀物(如奈米線)、或棒狀物(如奈米棒)於場效電晶體的通道區中,以達更佳的閘極控制、降低漏電流、並縮小尺寸以用於多種積體電路應用。雖然製作全繞式閘極場效電晶體的現有技術通常適用於其發展目的,但無法符合所有方面的需求。本發明包括多個實施例。不同實施例可具有不同優點,且任何實施例不必具有特定優點。
圖1A及1B係本發明多種實施例中,形成半導體裝置如裝置200的方法100及300之流程圖。方法100及300僅為舉例而非侷限本發明實施例至請求項未實際記載處。在方法100及300之前、之中、與之後可提供額外步驟,且方法的額外實施例可置換、省略、或調換一些下述步驟。方法100及300將搭配圖3至13B說明如下,其為裝置200在方法100及300的中間步驟沿著圖2A及2B的剖線AA’之剖視圖。裝置200可為處理積體電路或其部分時所製作的中間裝置,其可包含靜態隨機存取記憶體及/或其他邏輯電路、被動構件(如電阻、電容器、或電感)、與主動構件(如全繞式閘極場效電晶體、鰭狀場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極電晶體、高電壓電晶體、高頻電晶體、及/或其他電晶體)。本發明實施例不限於特定數目的裝置或裝置區,或任何特定裝置設置。舉例來說,雖然圖式中的裝置200為三維結構,本發明實施例亦可用於製作平面裝置。可添加額外結構至裝置200,且裝置200的其他實施例可置換、調整、或省略一些下述結構。
如圖1A及2A至4所示,方法100的步驟102形成裝置200,其含有自基板202凸起且隔有隔離結構208的一或多個鰭狀物204 (或主動區),位於鰭狀物204上的虛置閘極堆疊210、與位於虛置閘極堆疊210之側壁上的頂部間隔物212。雖然未圖示,但裝置200可包含其他構件如硬遮罩層、阻障層、其他合適層、或上述之組合位於虛置閘極堆疊210上。
基板202可包含半導體元素(單一元素)如矽、鍺、及/或其他合適材料;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、及/或其他合適材料;或半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、磷砷化鎵銦、及/或其他合適材料。基板202可為組成一致的單層材料。在其他實施例中,基板202可包含多個材料層,其具有適用於製造積體電路裝置的類似或不同的組成。在一例中,基板202可為絕緣層上矽基板,其具有矽層形成於氧化矽層上。在另一例中,基板202可包含導電層、半導體層、介電層、其他層、或上述之組合。
在一些實施例中,基板202包括場效電晶體,而多種摻雜區位於基板202之中或之上。摻雜區可摻雜n型摻質如磷或砷,及/或p型摻質如硼或二氟化硼,端視設計需求而定。摻雜區可直接形成於基板202上、p型井結構中、n型井結構中、雙井結構中、或隆起結構中。摻雜區的形成方法可為佈植摻質原子、原位摻雜磊晶成長、及/或其他合適技術。每一鰭狀物204適於提供n型場效電晶體或p型場效電晶體。在一些實施例中,此處所示的鰭狀物204適於提供類似型態(比如均為n型或均為p型)的場效電晶體。在其他實施例中,其可是於提供不同型態(如n型與p型)的電晶體。此設置僅用於說明目的而非侷限本發明實施例。
如圖2A及3所示的這些實施例中,每一鰭狀物204包括交錯的半導體層204b與半導體層204a之多層堆疊ML垂直堆疊於基板202的凸起部分上。在這些實施例中,每一半導體層204b為犧牲層,其設置為在後續製程步驟中被移除,可提供開口於半導體層204a (與基板202)之間以形成金屬閘極堆疊於其中。每一半導體層204a可包含半導體材料如矽、鍺、碳化矽、矽鍺、鍺錫、矽鍺錫、矽鍺碳錫、其他合適的半導體材料、或上述之組合,而半導體層204b與半導體層204a的組成不同。在此例中,半導體層204a可包含矽元素但不含或實質上不含鍺,而半導體層204b可包含矽鍺。在另一例中,半導體層204a可包含矽元素,但不含或實質上不含鍺,而半導體層204b可包含鍺。在一些例子中,每一鰭狀物204可包含總共三對至十對的交錯半導體層204a及204b,但亦可實施其他設置,端視具體的設計需求而定。
在這些實施例中,形成多層堆疊ML的方法包括以一系列磊晶製程交錯成長半導體層204a與半導體層204b。磊晶製程的實施方法可為化學氣相沉積技術(比如氣相磊晶、超高真空化學氣相沉積、低壓化學氣相沉積、及/或電漿輔助化學氣相沉積)、分子束磊晶、其他合適的選擇性磊晶成長製程、或上述之組合。磊晶製程可採用含合適材料(比如半導體層204b所用的鍺)的氣相及/或液相前驅物,其與下方基板如基板202的組成作用。在一些實施例中,半導體層204a及204b可形成奈米片、奈米線、或奈米棒。接著可實施片狀物或線狀物的形成製程,移除半導體層204b以形成開口於半導體層204a之間,接著形成金屬閘極堆疊於開口中,進而提供全繞式閘極場效電晶體。換言之,保留的半導體層204a將接合金屬閘極堆疊(比如高介電常數的閘極介電層與金屬閘極堆疊,其中高介電常數指的是介電常數大於氧化矽的介電常數如約3.9),以提供全繞式閘極場效電晶體的通道區。綜上所述,半導體層204a可視作通道層,而半導體層204b可視作非通道層。
在這些實施例中,以一系列光微影與蝕刻製程自多層堆疊ML與基板202製作鰭狀物204。舉例來說,光微影製程可包含形成光阻層於多層堆疊上,曝光光阻至一圖案,進行曝光後烘烤製程,並顯影曝光的光阻層以形成圖案化的遮罩單元(未圖示)。接著採用圖案化的遮罩單元作為蝕刻遮罩並蝕刻多層堆疊ML,以留下自基板202凸起的三維的鰭狀物204。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻、其他合適製程、或上述之組合。接著可自多層堆疊ML移除圖案化的遮罩單元,且移除方法可採用任何合適製程如灰化及/或光阻剝除。
在額外或其他實施例中,形成鰭狀物204所用的方法之其他實施例亦適用。舉例來說,可採用雙重圖案化或多重圖案化製程圖案化多層堆疊ML與基板202。一般而言,雙重圖案化製程或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程,以沿著圖案化的犧牲層側部形成間隔物。接著移除犧牲層,而保留的間隔物或芯之後可用於圖案化多層堆疊ML以形成鰭狀物204。
導入多閘極裝置如全繞式閘極場效電晶體,可增加閘極-通道耦合、減少關閉狀態電流、並減少短通道效應,以改善閘極控制。全繞式閘極場效電晶體通常包含閘極結構以包覆多個水平半導體層,可自所有側接觸通道區。全繞式閘極場效電晶體通常與互補式金氧半製程相容,因此在尺寸縮小時仍維持閘極控制並緩解短通道效應。本發明實施例當然不限於只形成全繞式閘極場效電晶體,且可提供其他三維場效電晶體如鰭狀場效電晶體。如此一來,一或多個鰭狀物204可包含單層的半導體材料,並提供一致的鰭狀物以形成鰭狀場效電晶體。
由於高介電常數的閘極介電層與金屬閘極堆疊交錯於全繞式閘極場效電晶體中的通道層之間,可提供內側閘極間隔物於高介電常數的閘極介電層與金屬閘極堆疊的側壁以及與高介電常數的閘極介電層與金屬閘極堆疊相鄰的磊晶的源極/汲極結構的部分之間,以減少裝置的寄生電容。增加內側間隔物的厚度,通常可減少寄生電容。雖然內側間隔物通常有利於降低全繞式閘極場效電晶體的電容,但無法完全符合所有方面的需求。舉例來說,為了減少高介電常數的閘極介電層與金屬閘極堆疊與相鄰的源極/汲極結構之間的寄生電容而增加內側間隔物厚度,會減少裝置的有效通道長度並因此導致裝置中的短通道效應。本發明實施例提供內側間隔物的形成方法,以減少全繞式閘極場效電晶體中的寄生電容,且不因較厚的內側間隔物而實質上縮短裝置的有效通道長度。在本發明一些實施例中,提供的內側間隔物具有氣隙埋置於一或多個介電材料中。
如圖2A所示,隔離結構208可包含氧化矽、低介電常數的介電材料、四乙氧基矽烷的氧化物、摻雜氧化矽(如硼磷矽酸鹽玻璃或氟矽酸鹽玻璃)、其他合適材料、或上述之組合。隔離結構208可包含淺溝槽隔離結構。亦可實施其他隔離結構如場氧化物、局部氧化矽、及/或其他合適結構以作為隔離結構208。在其他實施例中,隔離結構208可包含多層結構,比如具有一或多個熱氧化物襯墊層。在一實施例中,隔離結構208的形成方法為形成鰭狀物204時,蝕刻溝槽至基板202中。接著可由沉積製程將上述的隔離材料填入溝槽,再進行化學機械研磨製程。在另一實施例中,隔離結構208的形成方法可為沉積介電層如間隔物層於鰭狀物204上,接著使介電層凹陷,因此隔離結構208的上表面低於鰭狀物204的上表面。隔離結構208的沉積方法可為任何合適方法,比如化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈玻璃、其他合適方法、或上述之組合。
如圖2A、2B、及4所示,虛置閘極堆疊210 (或占位閘極堆疊)位於鰭狀物204上(因此位於多層堆疊ML上),且可包含多晶矽。在這些實施例中,在形成裝置200的其他構件之後,將虛置閘極堆疊210的部分置換為高介電常數的閘極介電層與金屬閘極堆疊。虛置閘極堆疊210的形成方法可為一系列的沉積與圖案化製程。舉例來說,虛置閘極堆疊210的形成方法可為沉積多晶矽層於鰭狀物204上,並進行非等向蝕刻製程如乾蝕刻製程以移除多晶矽的部分。在圖4所示的一些實施例中,移除多晶矽層的部分之步驟亦可移除多層堆疊ML的頂部,造成虛線標示的弧形上表面。這些實施例在沉積多晶矽層之前,裝置200更包含界面層211形成於鰭狀物204上,且界面層211的形成方法可為合適方法如熱氧化、化學氧化、其他合適方法、或上述之組合。
之後如圖4所示,可形成頂部間隔物212於虛置閘極堆疊210的側壁上。頂部間隔物212可為單層結構或多層結構,其可包含氮化矽、氧化矽、碳化矽、碳氮化矽、碳氧化矽、氮氧化矽、矽、碳氮氧化矽、低介電常數的介電材料、其他合適材料、或上述之組合。在這些實施例中,頂部間隔物212包括間隔物層212a形成於虛置閘極堆疊210的側壁上,以及間隔物層212b形成於間隔物層212a上。頂部間隔物212的每一間隔物層之形成方法,可為先沉積介電層於虛置閘極堆疊210上,接著由非等向蝕刻製程(如乾蝕刻製程)移除介電層的部分,並保留介電層的部分於虛置閘極堆疊210的側壁上,以作為頂部間隔物212。
方法100的步驟104接著形成裝置200所用的內側間隔物(如內側間隔物222或223),其可位於後續形成的磊晶的源極/汲極結構(如磊晶的源極/汲極結構230)與非通道層如半導體層204b的側壁之間。在這些實施例中,步驟104可實施圖1B所示的方法300之一實施例,以形成內側間隔物222或223。在下述內容中,方法300將搭配圖5至13B詳細說明。
如圖5所示,方法300的步驟302移除多層堆疊ML的部分,以形成源極/汲極凹陷206。在這些實施例中,源極/汲極凹陷206延伸至低於鰭狀物204的上表面並延伸至基板202中。在這些實施例中,方法300實施蝕刻製程402以移除通道層如半導體層204a與非通道層如半導體層204b的部分。蝕刻製程402可為乾蝕刻製程、濕蝕刻製程、反應性離子蝕刻製程、或上述之組合。在一些實施例中,方法300採用合適蝕刻劑或蝕刻劑的組合,以實施乾蝕刻製程及/或反應性離子蝕刻製程。在一些實施例中,可調整時間、溫度、壓力、源功率、偏電壓、偏功率、蝕刻劑流速、及/或其他合適參數,以調整步驟302的蝕刻製程402。在一些實施例中,非通道層如半導體層204b的蝕刻速率大於通道層如半導體層204a的蝕刻速率,且蝕刻製程402可造成非通道層如半導體層204b的側壁上的弧形表面,如圖5所示。接著可進行清潔製程,以氫氟酸溶液或其他合適溶液清潔源極/汲極凹陷206。
如圖6所示,方法300的步驟304更移除源極/汲極凹陷206中露出的非通道層如半導體層204b以形成溝槽214。在這些實施例中,方法300可選擇性移除非通道層如半導體層204b的部分,而不移除或實質上不移除源極/汲極凹陷206中露出的通道層如半導體層204a。方法300形成溝槽214的方法可為進行蝕刻製程404,比如乾蝕刻製程、濕蝕刻製程、反應性離子蝕刻製程、或上述之組合。在一些實施例中,蝕刻製程404為乾蝕刻製程及/或反應性離子蝕刻製程,其採用氟為主的蝕刻劑如氫氟酸、四氟化碳、六氟化硫、二氟甲烷、氟仿、六氟乙烷、其他含氟蝕刻劑、或上述之組合。在一些實施例中,方法300實施的濕蝕刻製程採用蝕刻劑如硝酸、氫氧化銨、氟化銨、過氧化氫、其他合適蝕刻劑、或上述之組合。在一些實施例中,控制步驟304中的蝕刻製程404的條件如時間、溫度、壓力、源功率、偏電壓、偏功率、蝕刻劑流速、及/或其他合適參數,以達非通道層如半導體層204b的所需移除量。在這些實施例中,可由蝕刻製程404的時間控制步驟304對非通道層如半導體層204b的移除量,以確保維持足夠的通道長度L,用於在後續製程步驟中形成金屬閘極堆疊。
接著如圖7所示,方法300形成內側間隔物222於溝槽214中。在這些實施例中,內側間隔物222包括氣隙218埋置於或部分埋置於間隔物層216中,如下詳述。在步驟306中,方法300的沉積製程406形成間隔物層216於溝槽214中與源極/汲極凹陷206的側壁206SW上,比如形成於通道層如半導體層204a的露出部分上,如圖9A至9C所示。在這些實施例中,形成間隔物層216的步驟會產生氣隙218埋置或部分埋置其中,如下詳述。
間隔物216可包含任何合適的介電材料,其可包含矽、碳、氧、氮、其他元素、或上述之組合。舉例來說,間隔物層216可包含氮化矽、氧化矽、碳化矽、碳氮化矽、碳氧化矽、氮氧化矽、矽、碳氮氧化矽、低介電常數的介電材料、四乙氧基矽烷的氧化物、摻雜氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、或類似物)、其他合適的介電材料、或上述之組合。舉例來說,間隔物層216可包含氮化矽、氧化矽、碳化矽、碳氮化矽、碳氧化矽、氮氧化矽、矽、碳氮氧化矽、低介電常數的介電材料、四乙氧基矽烷的氧化物、摻雜氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、或類似物)、其他合適的介電材料、或上述之組合。在一些實施例中,選擇間隔物層216的組成,以在後續閘極置換製程時具有合適的抗蝕刻性,而不明顯增加金屬閘極堆疊(如高介電常數的閘極介電層與金屬閘極堆疊260)與磊晶的源極/汲極結構230之間的寄生電容。
沉積製程406可包含任何合適方法,比如原子層沉積、化學氣相沉積、物理氣相沉積、其他合適方法、或上述之組合。當一些實施例沉積一或多種原子層沉積前驅物材料於溝槽214中,沉積製程406包括以高脈衝模式實施的原子層沉積製程。調整原子層沉積製程的一或多個參數可達高脈衝模式,且參數包括但不限於在輸送形成間隔物216所用的前驅物材料時,增加脈衝時間(比如前驅物材料的時間或流速)、脈衝壓力、脈衝能量、及/或脈衝頻率。在此實施例中,在高脈衝模式中實施的原子層沉積製程可增加間隔物層216的整體沉積速率。換言之,此處提供的原子層沉積製程設置以在單位時間中增加溝槽214中的前驅物材料沉積量。在一些實施例中,沉積製程406包括以高沉積速率實施的化學氣相沉積製程,以達前述原子層沉積製程的類似效果。
在圖9A至9C所示的這些實施例中,原子層沉積製程或化學氣相沉積製程均增加間隔物層216的沉積速率,造成間隔物層216橫向成長越過溝槽214的開口的速率,大於垂直成長至溝槽214的基體部分中的速率,因此形成氣隙218。在這些實施例中,以此方式成長的間隔物層216具有懸空結構,且間隔物層216的頂部厚度ttop 與底部厚度tbottm 之間具有差異。舉例來說,懸空結構量的定義為(ttop -tbottom )/tbottom 。換言之,氣隙218與溝槽214的側壁之間的間隔物層216的厚度,朝溝槽214的開口增加。
在額外及/或其他實施例中,調整沉積參數(如脈衝壓力、脈衝時間、或類似參數)如上述,可促進形成懸空結構(比如形成氣隙218),並增加溝槽214的深寬比(由溝槽214的高度H與寬度W之比例所定義)到至少約4。若深寬比小於約4,則間隔物層216越過溝槽214的開口之橫向成長速率會接近間隔物層216成長至溝槽214之基體中的垂直成長速率,使溝槽214完全填滿而不形成氣隙218。在這些實施例中,高度H亦對應間隔物層216的整體厚度,其可為約5 nm至約7 nm。在一些實施例中,步驟306的沉積製程406的實施方式為高脈衝壓力及/或脈衝時間,使間隔物層216的頂部完全合併,如圖9C所示。在圖9A及9B所示的一些實施例中,間隔物層216的頂部包括小開口(比如間隔物層216未完全密封溝槽214的開口)。接著以另一間隔物層(如間隔物層220)或磊晶的源極/汲極結構(如磊晶的源極/汲極結構230)密封小開口,如下詳述。
如圖9A至9C所示,形成間隔物層216會造成多種設置的氣隙218,端視步驟306中的沉積製程406之條件而定。在這些實施例中,增加沉積製程如原子層沉積製程的脈衝時間、沉積製程的脈衝壓力、及/或溝槽214的深寬比,可促進形成氣隙218。在一些實施例中,比較圖9B及9C所示的實施例,增加脈衝壓力與脈衝時間可增加氣隙218的體積。在一些實施例中,比較圖9A、9B、與9C所示的實施例,增加脈衝壓力會造成氣隙218的下表面向上彎曲。在一些實施例中,增加間隔物層216中包含的材料之介電常數會減少氣隙218的體積,因為介電常數較高的材料流動性通常低於介電常數較低的材料流動性,且在沉積製程406時的合併速度通常較慢。在一些例子中,氣隙218的直徑(或高度)可小於約0.5 nm。雖然氣隙218的設置不限於圖9A至9C所示的設置,下述說明將以圖9A為例進行說明。
方法300的步驟308可視情況對間隔物層216進行退火製程。間隔物層216的退火方法可為任何合適製程,比如爐退火製程、快速熱退火製程、峰值退火製程、雷射退火製程、其他合適的退火製程、或上述之組合,且退火溫度可為適於間隔物組成的任何溫度。在一些實施例中,在退火製程時可將一或多種元素導入間隔物層216。可由一或多種氣相物種提供元素如碳及/或氮至間隔物層216,以改變間隔物層216中包含的介電材料之介電常數。在一例中,若需要較低介電常數以減少裝置200中的寄生電容,可將碳導入間隔物層216。在另一例中,若需要較高介電常數以改善間隔物層216的抗蝕刻性,可將氮導入間隔物層216。應理解的是依據具體的設計需求,可省略或進行步驟308。
如圖7及9A至9C所示,方法300的步驟310實施蝕刻製程以移除或回蝕刻通道層如半導體層204a上的間隔物層216的部分(比如圖9A至9C所示之通道層如半導體層204a上的間隔物層216的最頂部),以形成內側間隔物222。在一些實施例中,回蝕刻後的間隔物層216的側壁與通道層如半導體層204a的側壁實質上共平面。在一些實施例中,最終間隔物層216的側壁向內彎曲並遠離通道層如半導體層204a的側壁,如圖7中的內側間隔物222的放大圖所示。步驟308的蝕刻製程可為任何合適製程,比如乾蝕刻、濕蝕刻、反應性離子蝕刻、或上述之組合。蝕刻劑的選擇(或蝕刻劑的組合)不限於這些實施例,端視間隔物層216的具體組成而定。在一些實施例中,露出通道層如半導體層204a的側壁時即可停止蝕刻製程。對間隔物層216越過氣隙218的開口合併之實施例(如圖9C所示)而言,回蝕刻間隔物層216的部分可產生小開口至氣隙218。在其他實施例中,在回蝕刻製程之後,間隔物層216維持密封氣隙218。
之後如圖8所示,方法300的步驟312及314形成間隔物層220於間隔物層216上。間隔物層220可包含任何合適的介電材料,其可含矽、碳、氧、氮、其他元素、或上述之組合。舉例來說,間隔物層220可包含氮化矽、氧化矽、碳化矽、碳氮化矽、碳氧化矽、氮氧化矽、矽、碳氮氧化矽、低介電常數的介電材料、四乙氧基矽烷的氧化物、摻雜氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、或類似物)、其他合適介電材料、或上述之組合。
在一些實施例中,間隔物層220與間隔物層216具有實質上相同的組成。在一些實施例中,間隔物層216包含的碳量大於間隔物層220包含的碳量。在此例中,間隔物層216可包含碳氮氧化矽,而間隔物層220可包含氮氧化矽。在另一例中,間隔物層216可包含碳氮化矽,而間隔物層220可包含氮化矽。在一些實施例中,間隔物層220與間隔物層216的介電常數不同。在此例中,間隔物層216的介電常數可小於間隔物層220的介電常數。在一些實施例中,間隔物層220比間隔物層216的材料更緻密,比如間隔物層216比間隔物層220更多孔。值得注意的是,這些實施例不限於這些例子。在這些實施例中,間隔物層216及220的組成選擇可增進裝置效能,比如改善抗蝕刻性、減少寄生電容、與加大設計自由度。
方法300形成間隔物層220於間隔物層216上的方法可為沉積製程408,其可實施任何合適方法如原子層沉積、化學氣相沉積、其他合適方法、或上述之組合。在這些實施例中,沉積製程408不需實施與沉積製程406類似的高脈衝模式。在一些例子中,沉積製程406及408可實施不同製程。舉例來說,沉積製程406可實施原子層沉積製程,而沉積製程408可實施化學氣相沉積製程。在另一例中,沉積製程406及408可實施相同製程,比如化學氣相沉積製程或原子層沉積製程,但兩者的沉積參數不同(比如不同脈衝及/或不同沉積速率)。在這些實施例中,間隔物層220的沉積厚度小於約1 nm。在一些實施例中,間隔物層220的厚度與間隔物層216的厚度之間的比例,可小於約1:5。
對部分露出氣隙218的實施例而言(見圖9A及9B),間隔物層220可提供更一致的基板,以用於後續形成磊晶的源極/汲極結構230。對間隔物層216與間隔物層220具有不同組成的實施例而言,間隔物層220採用較高介電常數的材料,可避免磊晶的源極/汲極材料非預期地成長至氣隙218中及/或間隔物層216與間隔物層220之間的層間空間中。在一些實施例中,方法300省略形成間隔物層220,使步驟106中磊晶的源極/汲極結構230直接形成於間隔物層216上(見圖1A及1B),以密封或覆蓋氣隙218。
之後如圖8所示,方法300的步驟314移除通道層如半導體層204a之側壁上的間隔物層220的部分,以形成內側間隔物223。綜上所述,內側間隔物223包括第二間隔物層(如間隔物層220)新增至間隔物層216上,以及氣隙218位於其中。在一些實施例中,最終間隔物層220的側壁與通道層如半導體層204a的側壁實質上共平面。換言之,間隔物層220的側壁與通道層如半導體層204a的側壁連續。一些實施例如內側間隔物223的放大圖所示,最終間隔物層220的側壁向內彎曲並遠離通道層如半導體層204a的側壁。
步驟314的蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、反應性離子蝕刻、或上述之組合,可調整其採用的蝕刻劑以選擇性移除間隔物層220。蝕刻劑選擇(或蝕刻劑組合)不限於此實施例,端視間隔物層220的具體組成而定。在一些實施例中,當通道層如半導體層204a的側壁露出時,即停止蝕刻製程。對間隔物層216與間隔物層220的組成實質上相同的實施例而言,步驟310及314的蝕刻製程會造成更一致的表面,以更適應後續的製程步驟。在一些實施例中,特別是間隔物層220夠薄的狀況下,方法300可省略步驟314。方法300的後續步驟可搭配具有內側間隔物222的裝置200說明(如圖10A、11A、12A、及13A所示),或搭配具有內側間隔物223的裝置200說明(如圖10B、11B、12B、及13B所示)。
如圖10A及10B所示,方法300自步驟314至方法100的步驟106,以形成磊晶的源極/汲極結構230於源極/汲極凹陷206中。在所述實施例中,由於間隔物層216及/或間隔物層220的表面向內凹陷(如圖7及8所示),磊晶的源極/汲極結構230的部分延伸出通道層如半導體層204a的側壁,以接觸間隔物層216及/或間隔物層220。換言之,磊晶的源極/汲極結構230的部分交錯於通道層如半導體層204a之間。以採用內側間隔物222的一些例子為例,這些凸起的距離P可為約0.5 nm至約2 nm。接觸內側間隔物223的凸起的距離,亦可為約0.5 nm至約2 nm。在一些實施例中,此處提供的內側間隔物設置可提供磊晶的源極/汲極結構230與通道層如半導體層204a之間的較大接點面積,進而改善裝置200的整體效能。對氣隙218完全埋置於間隔物層216中的實施例而言(見圖9C),磊晶的源極/汲極結構230與氣隙218隔有間隔物層216的至少一部分。
每一磊晶的源極/汲極結構230適用於形成p型場效電晶體裝置(比如包含p型磊晶材料),或n型場效電晶體裝置(比如包含n型磊晶材料)。p型磊晶材料可包含一或多個矽鍺的磊晶層,其中矽鍺摻雜p型摻質如硼、鍺、銦、及/或其他p型摻質。n型磊晶材料可包含一或多個矽或碳化矽的磊晶層,其中矽或碳化矽摻雜n型摻質如砷、磷、及/或其他n型摻質。在一些實施例中,進行一或多道磊晶成長製程,以成長磊晶材料於源極/汲極凹陷206中。舉例來說,方法100可實施磊晶製程如上述形成多層堆疊的步驟。在一些實施例中,可在磊晶成長製程時添加摻質至源材料,以原位摻雜磊晶材料。在一些實施例中,可在進行沉積製程之後,以離子佈植製程摻雜磊晶材料。在一些實施例中,之後可進行退火製程以活化磊晶的源極/汲極結構230中的摻質。在一些例子中,方法100的步驟106可先形成適用於n型場效電晶體的磊晶的源極/汲極結構230,接著形成適用於p型場效電晶體的磊晶的源極/汲極結構230。
如圖11A及11B所示,方法100的步驟108之後移除虛置閘極堆疊210,以形成閘極溝槽250於頂部間隔物212之間。在移除虛置閘極堆疊210之前,方法100可形成層間介電層242於磊晶的源極/汲極結構230,且其形成方法可為化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈玻璃、其他合適方法、或上述之組合。層間介電層242可包含氧化矽、低介電常數的介電材料、四乙氧基矽烷的氧化物、摻雜氧化矽(如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、或類似物)、其他合適的介電材料、或上述之組合。方法100在形成層間介電層242之前,可視情況先形成蝕刻停止層(未圖示)於磊晶的源極/汲極結構230上。蝕刻停止層可包含氮化矽、氮氧化矽或碳氮化矽、其他合適材料、或上述之組合,且其形成方法可為化學氣相沉積、物理氣相沉積、原子層沉積、其他合適方法、或上述之組合。方法100之後可由一或多道化學機械研磨製程平坦化層間介電層242,以露出虛置閘極堆疊210的上表面。之後可由任何合適蝕刻製程如乾蝕刻製程自裝置200移除虛置閘極堆疊210的至少一部分,以形成閘極溝槽250。在這些實施例中,在移除虛置閘極堆疊210之後,界面層211保留於多層堆疊ML上。
如圖12A及12B所示,方法100的步驟110接著在片狀物(或線狀物)形成製程中,自多層堆疊ML移除非通道層如半導體層204b,以形成開口252於通道層如半導體層204a之間。在此實施例中,方法100選擇性移除非通道層如半導體層204b,而不移除或實質上不移除通道層如半導體層204a。藉由確保非通道層如半導體層204b、通道層如半導體層204a、與間隔物層216 (如內側間隔物222或223的一部分)之間存在足夠的蝕刻選擇性,可達上述效果。蝕刻製程410可選擇性移除非通道層如半導體層204b,其可為乾蝕刻製程、濕蝕刻製程、反應性離子蝕刻製程、或上述之組合。在一些實施例中,蝕刻製程410包括乾蝕刻製程及/或反應性離子蝕刻製程,其採用氟為主的蝕刻劑如氫氟酸、四氟化碳、六氟化硫、二氟甲烷、氟仿、六氟乙烷、其他含氟蝕刻劑、或上述之組合。在一些實施例中,蝕刻製程410包含濕蝕刻製程,其採用蝕刻劑如硝酸、氫氧化銨、氟化銨、過氧化氫、其他合適蝕刻劑、或上述之組合。在一些實施例中,蝕刻製程410與蝕刻製程404採用相同蝕刻劑。
如圖13A及13B所示,方法100的步驟112形成高介電常數的閘極介電層與金屬閘極堆疊260於閘極溝槽250與開口252中。換言之,高介電常數的閘極介電層與金屬閘極堆疊260形成於頂部間隔物212之間,並形成於內側間隔物222或223之間。高介電常數的閘極介電層與金屬閘極堆疊260包含至少一高介電常數的介電層262位於閘極溝槽250與開口252中,以及金屬閘極264位於高介電常數的介電層262上。在這些實施例中,對形成於閘極溝槽250中的高介電常數的閘極介電層與金屬閘極堆疊260的頂部而言,高介電常數的介電層262之側壁部分形成於頂部間隔物212上,而高介電常數的介電層262之底部形成於最頂部的通道層如半導體層204a上(及/或界面層211上,若存在),因此高介電常數的介電層262設置為U形。對形成開口252中的高介電常數的閘極介電層與金屬閘極堆疊260的部分而言,高介電常數的介電層262之側壁部分形成於內側間隔物222或223 (如間隔物層216)上,而高介電常數的介電層262的頂部與底部形成於通道層如半導體層204a上,因此通道層如半導體層204a與內側間隔物222或223可封閉高介電常數的介電層262。
高介電常數的介電層262可包含任何合適的高介電常數的介電材料,比如氧化鉿、氧化鑭、其他合適材料、或上述之組合。在一些實施例中,高介電常數的介電層262包含的介電材料之介電常數,高於間隔物層216及/或間隔物層220中包含的介電材料之介電常數。金屬閘極264可包含至少一功函數金屬層(未圖示)與位於功函數金屬層上的基體導電層(未圖示)。功函數金屬層可為p型或n型的功函數金屬層。功函數材料的例子可包含氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、鈦、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、鋯、其他合適的功函數材料、或上述之組合。基體導電材料可包含銅、鎢、鋁、鈷、釕、其他合適材料、或上述之組合。高介電常數的閘極介電層與金屬閘極堆疊260可進一步包含多個其他層(未圖示),比如蓋層、阻障層、其他合適層、或上述之組合。在一些實施例中,每一高介電常數的閘極介電層與金屬閘極堆疊260中的材料層數目,取決於通道層如半導體層204a之間的開口252的尺寸。高介電常數的閘極介電層與金屬閘極堆疊260的多種層狀物之沉積方法可為任何合適方法,比如化學氧化、熱氧化、原子層沉積、化學氣相沉積、物理氣相沉積、電鍍、其他合適方法、或上述之組合。
方法100的步驟114之後可對裝置200進行額外製程步驟。舉例來說,方法100可形成源極/汲極接點(未圖示)於磊晶的源極/汲極結構230中。每一源極/汲極接點可包含任何合適的導電材料如鈷、鎢、釕、銅、鋁、鈦、鎳、金、鉑、鈀、其他合適導電材料、或上述之組合。方法100可形成源極/汲極接點開口(或溝槽)於層間介電層242中與磊晶的源極/汲極結構230上,且其形成方法可為一系列圖案化與蝕刻製程。接著可沉積導電材料於源極/汲極接點開口中,且沉積方法可採用任何合適方法如化學氣相沉積、物理氣相沉積、電鍍、其他合適製程、或上述之組合。一些實施例在沉積導電材料之前,可形成阻障層如鈦、鉭、氮化鈦、氮化鉭、其他合適材料、或上述之組合於接點開口中。
在一些實施例中,矽化物層(未圖示)形成於磊晶的源極/汲極結構230與源極/汲極接點之間。矽化物層可包含鎳矽化物、鈷矽化物、鎢矽化物、鉭矽化物、鈦矽化物、鉑矽化物、鉺矽化物、鈀矽化物、其他合適矽化物、或上述之組合。矽化物層形成於裝置200上的方法可為沉積製程如化學氣相沉積、原子層沉積、物理氣相沉積、或上述之組合。舉例來說,金屬層如鈦可沉積於磊晶的源極/汲極結構230上,且可退火裝置200使金屬層與磊晶的源極/汲極結構230之半導體反應。之後可移除未反應的金屬層,並保留矽化物層於磊晶的源極/汲極結構230上。
方法100之後可形成額外結構於裝置200上,比如高介電常數的閘極介電層與金屬閘極堆疊260上的閘極接點、或源極/汲極接點上的垂直內連線結構(如通孔)、水平內連線結構(如導電線路)、介電層(如金屬間介電層)、其他合適結構、或上述之組合。
本發明一或多個實施例可提供許多優點至半導體裝置與其形成方法,但不侷限於此。舉例來說,本發明實施例可提供全繞式閘極場效電晶體中的內側間隔物(如內側間隔物222及223),且一或多個介電層可封閉全繞式閘極場效電晶體的氣隙。在一些實施例中,氣隙至少部分地埋置於第一介電層(如間隔物層216)中。內側間隔物的一些實施例可含第二介電層(如間隔物層220)位於第一介電層上,並具有第一介電層與第二介電層所封閉的氣隙。第一介電層與第二介電層可具有實質上相同的組成。在其他實施例中,第二介電層包含的材料之介電常數,可大於第一介電層包含的材料之介電常數。在一些實施例中,源極/汲極結構的部分延伸至接觸兩個通道層之間的內側間隔物。本發明實施例包含氣隙的內側間隔物可設置以減少全繞式閘極場效電晶體的寄生電容。此外,這些實施例可提供含有不類似的介電材料之內側間隔物,可增加製程彈性以符合多種設計需求。本發明實施例揭露的方法可明顯整合至製造三維場效電晶體如全繞式閘極場效電晶體的現有製程與技術。
本發明一實施例提供半導體結構的形成方法,包括形成虛置閘極堆疊於自半導體基板凸起的鰭狀物上,其中鰭狀物包括交錯的多個通道層與多個非通道層的多層堆疊;形成源極/汲極凹陷於多層堆疊中;使每一非通道層的一部分凹陷,以形成溝槽;沉積內側間隔物層於溝槽中,其中沉積步驟形成氣隙於內側間隔物層中;形成磊晶的源極/汲極結構於源極/汲極凹陷之中與內側間隔物層之上;自多層堆疊移除非通道層,以形成多個開口夾設於內側間隔物層之間;以及形成金屬閘極堆疊於開口中,並取代虛置閘極堆疊。
在一些實施例中,上述方法更包括在形成磊晶的源極/汲極結構之前,移除通道層之側壁上的內側間隔物層的部分。
在一些實施例中,沉積內側間隔物層的步驟包括以高脈衝模式實施原子層沉積製程。
在一些實施例中,上述方法更包括在自通道層的側壁移除內側間隔物層的部分之前,退火內側間隔物層。
在一些實施例中,沉積內側間隔物層的步驟造成氣隙與溝槽的側壁之間的內側間隔物層的一部分之厚度,朝溝槽的開口增加。
在一些實施例中,沉積內側間隔物層的步驟造成內側間隔物層部分封閉氣隙,使磊晶的源極/汲極結構密封氣隙。
在一些實施例中,沉積內側間隔物的步驟造成氣隙完全埋置於內側間隔物層中,使內側間隔物的一部分位於氣隙與磊晶的源極/汲極結構之間。
在一些實施例中,內側間隔物層為第一內側間隔物層,且上述方法更包括在形成磊晶的源極/汲極結構之前,形成第二內側間隔物層於第一內側間隔物層上。
本發明另一實施例提供半導體結構,包括多個半導體層的堆疊,位於基板上;金屬閘極堆疊,具有頂部位於半導體層的堆疊上,以及底部與半導體層的堆疊交錯;內側間隔物,位於金屬閘極堆疊的底部之側壁上;氣隙,封閉於內側間隔物中;以及磊晶的源極/汲極結構,位於內側間隔物上並與金屬閘極堆疊相鄰。
在一些實施例中,內側間隔物為第一內側間隔物,且半導體結構更包括第二內側間隔物位於第一內側間隔物與磊晶的源極/汲極結構之間。
在一些實施例中,第二內側間隔物密封氣隙。
在一些實施例中,第二內側間隔物的介電常數高於第一內側間隔物的介電常數。
在一些實施例中,接觸內側間隔物的磊晶的源極/汲極結構的一部分,位於兩個半導體層之間。
在一些實施例中,氣隙完全埋置於內側間隔物中,使磊晶的源極/汲極結構與氣隙隔有內側間隔物的一部分。
在一些實施例中,磊晶的源極/汲極結構密封氣隙。
在一些實施例中,內側間隔物與氣隙相鄰的一部分之厚度,在朝向磊晶的源極/汲極結構的方向中增加。
本發明又一實施例提供半導體結構,包括多個半導體層,位於基板上;高介電常數的閘極介電層與金屬閘極堆疊,位於半導體層之間;源極/汲極結構,與高介電常數的閘極介電層與金屬閘極堆疊相鄰;以及內側間隔物,設置以分開源極/汲極結構與高介電常數的閘極介電層與金屬閘極堆疊,其中內側間隔物包括第一介電層位於高介電常數的閘極介電層與金屬閘極堆疊的側壁上,氣隙位於第一介電層中,以及第二介電層位於第一介電層上。
在一些實施例中,氣隙埋置於第一介電層中,使第二介電層與氣隙隔有第一介電層的一部分。
在一些實施例中,源極/汲極結構的一部分位於兩個半導體層之間,以接觸第二介電層。
在一些實施例中,第一介電層具有第一介電常數,第二介電層具有第二介電常數,且第二介電常數大於第一介電常數。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
AA’:剖線 H:高度 L:通道長度 ML:多層堆疊 P:距離 ttop :頂部厚度 tbottm :底部厚度 W:寬度 100,300:方法 102,104,106,108,110,112,114,302,304,306,308,310, 312,314:步驟 200:裝置 202:基板 204:鰭狀物 204a,204b:半導體層 206:源極/汲極凹陷 206SW:側壁 208:隔離結構 210:虛置閘極堆疊 211:界面層 212:頂部間隔物 212a,212b:間隔物層 214:溝槽 216,220:間隔物層 218:氣隙 222,223:內側間隔物 230:磊晶的源極/汲極結構 242:層間介電層 250:閘極溝槽 252:開口 260:高介電常數的閘極介電層與金屬閘極堆疊 262:高介電常數的介電層 264:金屬閘極 402,404,410:蝕刻製程 406,408:沉積製程
圖1A及1B係本發明多種實施例中,製作半導體裝置的方法之流程圖。 圖2A係本發明多種實施例中,半導體裝置的三維透視圖。 圖2B係本發明多種實施例中,圖2A所示的半導體裝置之平面上視圖。 圖3、4、5、6、7、8、9A、9B、9C、10A、10B、11A、11B、12A、12B、13A、及13B係本發明多種實施例中,半導體裝置在圖1A及/或1B所示的方法之中間階段沿著圖2A所示的剖線AA'的部分或全部剖視圖。
106,302,304,306,308,310,312,314:步驟
300:方法

Claims (20)

  1. 一種半導體結構的形成方法,包括: 形成一虛置閘極堆疊於自一半導體基板凸起的一鰭狀物上,其中該鰭狀物包括交錯的多個通道層與多個非通道層的一多層堆疊; 形成一源極/汲極凹陷於該多層堆疊中; 使每一該些非通道層的一部分凹陷,以形成一溝槽; 沉積一內側間隔物層於該溝槽中,其中沉積步驟形成一氣隙於該內側間隔物層中; 形成一磊晶的源極/汲極結構於該源極/汲極凹陷之中與該內側間隔物層之上; 自該多層堆疊移除該些非通道層,以形成多個開口夾設於該內側間隔物層之間;以及 形成一金屬閘極堆疊於該些開口中,並取代該虛置閘極堆疊。
  2. 如請求項1之半導體結構的形成方法,更包括在形成該磊晶的源極/汲極結構之前,移除該些通道層之側壁上的該內側間隔物層的部分。
  3. 如請求項1之半導體結構的形成方法,其中沉積該內側間隔物層的步驟包括以高脈衝模式實施原子層沉積製程。
  4. 如請求項2之半導體結構的形成方法,更包括在自該些通道層的側壁移除該內側間隔物層的部分之前,退火該內側間隔物層。
  5. 如請求項1之半導體結構的形成方法,其中沉積該內側間隔物層的步驟造成該氣隙與該溝槽的側壁之間的該內側間隔物層的一部分之厚度,朝該溝槽的開口增加。
  6. 如請求項1之半導體結構的形成方法,其中沉積該內側間隔物層的步驟造成該內側間隔物層部分封閉該氣隙,使該磊晶的源極/汲極結構密封該氣隙。
  7. 如請求項1之半導體結構的形成方法,其中沉積該內側間隔物的步驟造成該氣隙完全埋置於該內側間隔物層中,使該內側間隔物的一部分位於該氣隙與該磊晶的源極/汲極結構之間。
  8. 如請求項1之半導體結構的形成方法,其中該內側間隔物層為一第一內側間隔物層,且該半導體結構的形成方法更包括在形成該磊晶的源極/汲極結構之前,形成一第二內側間隔物層於該第一內側間隔物層上。
  9. 一種半導體結構,包括: 多個半導體層的一堆疊,位於一基板上; 一金屬閘極堆疊,具有一頂部位於該些半導體層的該堆疊上,以及一底部與該些半導體層的該堆疊交錯; 一內側間隔物,位於該金屬閘極堆疊的該底部之側壁上; 一氣隙,封閉於該內側間隔物中;以及 一磊晶的源極/汲極結構,位於該內側間隔物上並與該金屬閘極堆疊相鄰。
  10. 如請求項9之半導體結構,其中該內側間隔物為一第一內側間隔物,且該半導體結構更包括一第二內側間隔物位於該第一內側間隔物與該磊晶的源極/汲極結構之間。
  11. 如請求項10之半導體結構,其中該第二內側間隔物密封該氣隙。
  12. 如請求項10之半導體結構,其中該第二內側間隔物的介電常數高於該第一內側間隔物的介電常數。
  13. 如請求項9之半導體結構,其中接觸該內側間隔物的該磊晶的源極/汲極結構的一部分,位於兩個半導體層之間。
  14. 如請求項9之半導體結構,其中該氣隙完全埋置於該內側間隔物中,使該磊晶的源極/汲極結構與該氣隙隔有該內側間隔物的一部分。
  15. 如請求項9之半導體結構,其中該磊晶的源極/汲極結構密封該氣隙。
  16. 如請求項9之半導體結構,其中該內側間隔物與該氣隙相鄰的一部分之厚度,在朝向該磊晶的源極/汲極結構的方向中增加。
  17. 一種半導體結構,包括: 多個半導體層,位於基板上; 一高介電常數的閘極介電層與金屬閘極堆疊,位於該些半導體層之間; 一源極/汲極結構,與該高介電常數的閘極介電層與金屬閘極堆疊相鄰;以及 一內側間隔物,設置以分開該源極/汲極結構與該高介電常數的閘極介電層與金屬閘極堆疊,其中該內側間隔物包括一第一介電層位於該高介電常數的閘極介電層與金屬閘極堆疊的側壁上,一氣隙位於該第一介電層中,以及一第二介電層位於該第一介電層上。
  18. 如請求項17之半導體結構,其中該氣隙埋置於該第一介電層中,使該第二介電層與該氣隙隔有該第一介電層的一部分。
  19. 如請求項17之半導體結構,其中該源極/汲極結構的一部分位於兩個半導體層之間,以接觸該第二介電層。
  20. 如請求項17之半導體結構,其中該第一介電層具有一第一介電常數,該第二介電層具有一第二介電常數,且該第二介電常數大於該第一介電常數。
TW110102260A 2020-01-29 2021-01-21 半導體結構與其形成方法 TWI783350B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967285P 2020-01-29 2020-01-29
US62/967,285 2020-01-29
US17/107,374 US11502183B2 (en) 2020-01-29 2020-11-30 Air gap in inner spacers and methods of fabricating the same in field-effect transistors
US17/107,374 2020-11-30

Publications (2)

Publication Number Publication Date
TW202145317A true TW202145317A (zh) 2021-12-01
TWI783350B TWI783350B (zh) 2022-11-11

Family

ID=76753727

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102260A TWI783350B (zh) 2020-01-29 2021-01-21 半導體結構與其形成方法

Country Status (3)

Country Link
US (1) US11990529B2 (zh)
DE (1) DE102020134536A1 (zh)
TW (1) TWI783350B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230114163A1 (en) * 2021-09-27 2023-04-13 International Business Machines Corporation Inner spacer formation for nanosheet transistors

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102315275B1 (ko) * 2015-10-15 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9368572B1 (en) * 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer
US10269983B2 (en) 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US9954058B1 (en) 2017-06-12 2018-04-24 International Business Machines Corporation Self-aligned air gap spacer for nanosheet CMOS devices
US10361278B2 (en) * 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102399071B1 (ko) 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US10553696B2 (en) * 2017-11-21 2020-02-04 International Business Machines Corporation Full air-gap spacers for gate-all-around nanosheet field effect transistors
US10847373B2 (en) * 2018-10-23 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming silicide contact in field-effect transistors
US11502183B2 (en) * 2020-01-29 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap in inner spacers and methods of fabricating the same in field-effect transistors

Also Published As

Publication number Publication date
DE102020134536A1 (de) 2021-07-29
US11990529B2 (en) 2024-05-21
US20230080922A1 (en) 2023-03-16
TWI783350B (zh) 2022-11-11

Similar Documents

Publication Publication Date Title
US20230335432A1 (en) Semiconductor Structure With Air Gap And Method Sealing The Air Gap
CN111092122A (zh) 半导体结构的形成方法
TW202017059A (zh) 半導體結構的製作方法
TW202036907A (zh) 半導體裝置
US11532519B2 (en) Semiconductor device and method
TW202127663A (zh) 半導體裝置
TW202113942A (zh) 半導體結構
US11557659B2 (en) Gate all around transistor device and fabrication methods thereof
TW202118058A (zh) 半導體裝置
TW202221925A (zh) 半導體裝置
TW202103323A (zh) 半導體結構
KR20210097641A (ko) 전계 효과 트랜지스터에서 내부 스페이서 내의 에어 갭 및 이의 제조 방법
TWI831110B (zh) 半導體裝置及其製造方法
US11444179B2 (en) Isolation structures in multi-gate semiconductor devices and methods of fabricating the same
TWI783350B (zh) 半導體結構與其形成方法
TWI822111B (zh) 半導體裝置與其形成方法
US20220352321A1 (en) Method of Forming a Semiconductor Device with Implantation of Impurities at High Temperature
TW202314868A (zh) 製造半導體元件的方法
TW202236523A (zh) 半導體結構與其形成方法
TW202205596A (zh) 半導體裝置
TW202230528A (zh) 半導體元件及其製造方法
TW202147411A (zh) 半導體元件及其形成方法
TW202010044A (zh) 積體電路結構的製作方法
US11532520B2 (en) Semiconductor device and method
US11948998B2 (en) Isolation structures in multi-gate semiconductor devices and methods of fabricating the same