TWI832442B - 形成半導體裝置結構的方法和形成介電層的方法 - Google Patents

形成半導體裝置結構的方法和形成介電層的方法 Download PDF

Info

Publication number
TWI832442B
TWI832442B TW111135889A TW111135889A TWI832442B TW I832442 B TWI832442 B TW I832442B TW 111135889 A TW111135889 A TW 111135889A TW 111135889 A TW111135889 A TW 111135889A TW I832442 B TWI832442 B TW I832442B
Authority
TW
Taiwan
Prior art keywords
layer
forming
gate
source precursor
gate spacer
Prior art date
Application number
TW111135889A
Other languages
English (en)
Other versions
TW202320225A (zh
Inventor
高琬貽
王俊堯
盧永誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202320225A publication Critical patent/TW202320225A/zh
Application granted granted Critical
Publication of TWI832442B publication Critical patent/TWI832442B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

描述了一種半導體裝置結構及其形成方法。在一些實施方式中,此方法包括形成介電層,此介電層包括使用原子層沉積製程形成初沉積的層,此原子層沉積製程包括以第一流速將矽源前驅物流到製程腔室內,以第二流速將碳和氮源前驅物流到製程腔室內,以及以第三流速將氧源前驅物流到製程腔室內。第一流速與第二流速與第三流速的比率的範圍在約1比1比8和1比1比12之間,並且初沉積的層具有基本上大於氮濃度的碳濃度。此方法還包括在包括H2O的環境中將初沉積的層退火,以形成介電層。

Description

形成半導體裝置結構的方法和形成介電層的方法
本揭示內容係關於半導體裝置中的介電層的形成方法。
隨著半導體產業在追求更高的裝置密度、更高的性能、和更低的成本方面發展到奈米技術製程節點,來自製造和設計問題的挑戰導致了三維設計的發展,例如鰭式場效電晶體(Fin Field Effect Transistor,FinFET)。鰭式場效電晶體裝置通常包括具有高縱橫比的半導體鰭片,並且在半導體鰭片中形成通道和源極/汲極區域。閘極形成在鰭片結構的上方和沿著鰭片結構的側面(例如,圍繞),利用增加的通道的表面面積的優勢,以生產更快、更可靠、和更好控制的半導體電晶體裝置。然而,隨著尺寸的縮小,出現了新的挑戰。
本揭示內容的一些實施方式提供了一種形成半導體裝置結構的方法,包含:形成介電層,此介電層包括使 用原子層沉積製程形成初沉積的層。使用原子層沉積製程形成初沉積的層包括以第一流速將矽源前驅物流到製程腔室內,以第二流速將碳和氮源前驅物流到製程腔室內,以及以第三流速將氧源前驅物流到製程腔室內。第一流速與第二流速與第三流速的比率的範圍在約1比1比8和1比1比12之間,並且初沉積的層具有基本上大於氮濃度的碳濃度。此方法還包括在包括H2O的環境中將初沉積的層退火,以形成介電層,並且此介電層的碳濃度與氮濃度的比率的範圍從約2比1至約10比1。
本揭示內容的另一些實施方式提供了一種形成半導體裝置結構的方法,包含:在基板上方形成鰭片,在鰭片上方形成閘極堆疊,以及在閘極堆疊上方形成第一閘極間隔物層。在閘極堆疊上方形成第一閘極間隔物層包括:使用原子層沉積製程形成初沉積的層,此原子層沉積製程包括將矽源前驅物流到製程腔室內持續第一持續期間,將碳和氮源前驅物流到製程腔室內持續第二持續期間,以及將氧源前驅物流到製程腔室內持續第三持續期間。第一持續期間與第二持續期間與第三持續期間的比率的範圍在約1比1比1.1和約1比1比1.2之間,並且初沉積的層具有碳濃度其基本上大於氮濃度。形成第一閘極間隔物層還包括在包括H2O的環境中將初沉積的層退火,以形成第一閘極間隔物層,並且第一閘極間隔物層具有碳濃度其基本上大於氮濃度。
本揭示內容的又另一些實施方式提供了一種形成 介電層的方法,包含:將矽源前驅物流到製程腔室內以範圍從約0.2slm至約0.8slm的第一流速持續約15秒至約25秒,將碳和氮源前驅物流到製程腔室內以範圍從約0.2slm至約0.8slm的第二流速持續約15秒至約25秒,以及將氧源前驅物流到製程腔室內以範圍從約2slm至約8slm的第三流速持續約20秒至約30秒。形成初沉積的層。此方法還包括在包括H2O的環境中將初沉積的層退火,以形成介電層,並且此介電層的碳濃度與氮濃度的比率的範圍從約2比1至約10比1。
40:中間結構
52a:源極/汲極區域
52b:源極/汲極區域
52c:源極/汲極區域
52d:源極/汲極區域
52e:源極/汲極區域
52f:源極/汲極區域
70:半導體基板
74:鰭片
78:隔離區域
80:介電層
82:閘極層
84:遮罩
86:第一閘極間隔物層(第一閘極間隔物)
88:第二閘極間隔物層(第二閘極間隔物)
92:源極/汲極區域
96:接觸蝕刻停止層
100:第一層間介電質
104:導電特徵
106:矽化物區域
120:保形層
122:閘極電極
130:第二層間介電質
134:導電特徵
136:矽化物區域
200:製程流程
202:操作
204:操作
206:操作
300:製程流程
302:操作
303:操作
304:操作
306:操作
308:操作
310:操作
312:操作
314:操作
A-A:截面
B-B:截面
本揭示內容的多個態樣可由以下的詳細描述並且與所附圖式一起閱讀,得到最佳的理解。注意的是,根據產業中的標準做法,各個特徵並未按尺度繪製。事實上,為了討論的清楚起見,可任意地增加或減少各個特徵的尺寸。
第1A圖至第1C圖、第2A圖至第2B圖、第3A圖至第3B圖、第4A圖至第4B圖、第5A圖至第5B圖、和第6A圖至第6B圖是根據一些實施方式在形成半導體裝置結構的實施例製程中在多個中間階段時的多個相應的中間結構的各個視圖。
第7A圖至第7B圖和第8A圖至第8B圖是根據一些實施方式形成半導體裝置結構的另一個實施例製程中在多個中間階段時的多個相應的中間結構的多個截面視圖。
第9圖是根據一些實施方式的用於形成閘極間隔物的製程流程。
第10圖是根據一些實施方式的用於形成和處理介電層的製程流程。
第11A圖至第11F圖是根據一些實施方式,在第10圖中所形成的介電層的形成和處理期間的多個反應的示意性繪示。
以下的揭示內容提供了許多不同的實施方式或實施方式,用於實施所提供主題的不同特徵。以下描述組件和排列的具體實施方式,以簡化本揭示內容。當然,這些僅僅是實施例而不是限制性的。例如,在隨後的描述中,形成第一特徵其在第二特徵上方或之上,可包括第一特徵和第二特徵以直接接觸而形成的實施方式,並且也可包括附加的特徵可形成在介於第一特徵和第二特徵之間,因此第一特徵和第二特徵可不是直接接觸的實施方式。另外,本揭示內容可在各個實施例中重複參考標號和/或字母。這樣的重複,是為了是簡化和清楚的目的,重複本身並不是意指所討論的各個實施方式之間和/或配置之間的關係。
此外,為了便於描述如在圖式中所繪示的一個元件或特徵與另一個元件或特徵之間的關係,在此可能使用空間相對性用語,例如「之下」、「低於」、「較下」、「高於」、「上方」、「之上」、「頂部」、「較上」、和類 似的用語。除了在圖式中所描繪的方向之外,空間相對性用語旨在涵蓋裝置在使用中或操作中的不同方向。設備可用其它方式定向(旋轉90度或處於其它的方向),並且據此可同樣地解讀本文所使用的空間相對性描述詞。
第1A圖至第1C圖一直到第8A圖至第8B圖是根據一些實施方式在形成半導體裝置結構的實施例製程中在各個階段時的多個相應的中間結構的多個視圖。第1A圖至第1C圖一直到第5A圖至第5B圖的多個方面可應用於本文所描述的閘極先製程和替換閘極製程。第6A圖至第6B圖繪示了本文所描述的閘極先製程的進一步的方面。第7A圖至第7B圖和第8A圖至第8B圖繪示了本文所描述的替換閘極製程的進一步的方面。
第1A圖、第1B圖、和第1C圖繪示了根據一些實施方式在形成半導體裝置結構的實施例製程中在一個階段時的中間結構的不同的視圖。第1A圖和第1B圖是中間結構的不同的截面視圖,並且第1C圖是中間結構40的透視圖。
如在第1A圖至第1C圖中所示,鰭片74由半導體基板70所形成。隔離區域78設置在半導體基板70上,並且設置在介於相鄰的多個鰭片74之間。鰭片74各者突出高於相鄰的多個隔離區域78和從介於相鄰的多個隔離區域78之間突出。沿著鰭片74的側壁並且在鰭片74的頂表面上方形成閘極堆疊(或更一般而言,閘極結構),每個閘極堆疊包括介電層80、閘極層82、和遮罩84。源極 /汲極區域52a至52f位於鰭片74的相應的區域中。
第1C圖進一步繪示了在其它的圖中所使用的參考截面。截面A-A在沿著鰭片74的平面中。截面B-B在垂直於截面A-A的平面中,並且橫跨相鄰的鰭片74的源極/汲極區域52a和52d。為了清楚起見,多個圖參照了這些參考截面。以「A」標記結尾的圖繪示了對應於截面A-A的處理的各種示例的截面視圖,以「B」標記結尾的圖繪示了對應於截面B-B的處理的各種示例的截面視圖。
半導體基板70可能是或包括塊材半導體基板、絕緣體上半導體(SOI)基板、或類似者,半導體基板70可能是摻雜的(例如以p型或n型摻質)、或未摻雜的。在一些實施方式中,半導體基板的半導體材料可包括元素半導體其包括矽(Si)或鍺(Ge);化合物半導體;合金半導體;或其組合。
鰭片74可由半導體基板70所形成,例如經由在介於多個鰭片74之間蝕刻溝槽。隔離區域78可形成在介於多個鰭片74之間的溝槽中。隔離區域78可包括絕緣材料或者是絕緣材料,例如氧化物(例如矽氧化物)、氮化物、類似者、或其組合。鰭片74從介於相鄰的多個隔離區域78之間突出,這可能至少部分地將鰭片74描繪為在半導體基板70上的主動區域。形成鰭片74和隔離區域78可經由任何可接受的製程,並且可以包括任何可接受的材料。在一些實施例中,鰭片74可包括異質外延的結構(例如,與半導體基板70的半導體材料為晶格失配的材料)、或其 它的結構。
閘極堆疊形成在鰭片74上方,並垂直於鰭片74側向地延伸。每個閘極堆疊包括介電層80、閘極層82、和遮罩84。閘極堆疊可以是在閘極先製程中的操作的閘極堆疊,或者可以是在替換閘極製程中的虛設閘極堆疊。
在閘極先製程中,介電層80可以是閘極介電質,並且閘極層82可以是閘極電極。閘極介電質可包括或者是矽氧化物、矽氮化物、高介電常數(k)介電材料、類似者、或其多層。高k介電材料可以具有大於約7.0的k(介電常數)值,並且可包括Hf、al、Zr、La、Mg、Ba、Ti、Pb的金屬氧化物或矽酸鹽、其多層、或其組合。閘極電極可包括或者是矽(例如,多晶矽,其可以是摻雜的或者未摻雜的)、含金屬的材料(例如鈦、鎢、鋁、釕、或類似者)、或者其組合(例如矽化物或其多層)。遮罩84可包括或者是矽氮化物、矽氧氮化物、矽碳氮化物、類似者、或其組合。可將用於遮罩84、閘極電極、和閘極介電質的多個層沉積和圖案化為閘極堆疊,使用任何可接受的製程,以形成用於每個閘極堆疊的遮罩84、閘極層82、和介電層80。
在替換閘極製程中,介電層80可以是界面介電質,並且閘極層82可以是虛設閘極。界面介電質可包括或者是矽氧化物、矽氮化物、類似者、或其多層。虛設閘極可包括或者是矽(例如,多晶矽)或者另一種材料。遮罩84可包括或者是矽氮化物、矽氧氮化物、矽碳氮化物、類似者、或其組合。可將用於遮罩84、虛設閘極、和界面介電質的 多個層沉積和圖案化為閘極堆疊,使用任何可接受的製程,以形成用於每個閘極堆疊的遮罩84、閘極層82、和介電層80。
第2A圖和第2B圖繪示了沿著閘極堆疊和鰭片74的側壁和頂表面的第一閘極間隔物層86的形成。第一閘極間隔物層86沿著鰭片74和閘極堆疊的側壁和頂表面(例如,沿著介電層80、閘極層82、和遮罩84的側壁,以及在遮罩84的頂表面上),以及在隔離區域78的頂表面上保形地沉積。第一閘極間隔物層86可以是或包括矽氧碳氮化物(SiOCN)、矽氧碳化物(SiOC)、或另一種材料。第一閘極間隔物層86可具有範圍從約5.0至約7.0的k值。在一些實施例中,沉積第一閘極間隔物層86可以使用原子層沉積(atomic layer deposition,ALD)製程,儘管可以使用其它的沉積技術。在一些實施方式中,可省略第一閘極間隔物層86。
第3A圖和第3B圖繪示了第二閘極間隔物層88的形成。第二閘極間隔物層88保形地沉積在第一閘極間隔物層86上。第二閘極間隔物層88是包括矽、氧、氮、和碳的介電層。在一些實施方式中,第二閘極間隔物層88可以是或者包括矽氧碳氮化物(SiOCN)。在一些實施方式中,第二閘極間隔物層88具有從約1原子百分比至約10原子百分比(atomic percent,at.%)的範圍內的碳濃度,諸如從約5原子百分比至約10原子百分比,例如6原子百分比。在一些實施方式中,第二閘極間隔物層88具有在從 約1原子百分比至約5原子百分比的範圍內的氮濃度,例如從約1原子百分比至約4原子百分比,例如2原子百分比。在一些實施方式中,第二閘極間隔物層88具有在從約55原子百分比至約70原子百分比的範圍內的氧濃度,例如約60原子百分比。在一些實施方式中,第二閘極間隔物層88具有在從約25原子百分比至約35原子百分比的範圍內的矽濃度,例如約32原子百分比。在一些實施方式中,碳濃度與氮濃度的比率的範圍從約2比1至約10比1,例如約3比1至約6比1。由於碳濃度大於氮濃度,第二閘極間隔物層88的k值小於4.1,例如約3.8至4.0,這低於碳濃度小於氮濃度的SiOCN層。
第二閘極間隔物層88可以是高密度介電層。第二閘極間隔物層88可具有等於或大於約2.0g/cm3的密度。例如,第二閘極間隔物層88可具有在從約2.0g/cm3至約2.8g/cm3範圍內的密度,例如約2.2g/cm3。利用具有高密度和低k值的第二閘極間隔物層88,提高了在某些蝕刻製程中的電漿阻抗性和在裝置中的電容效率。
形成第二閘極間隔物層88可以經由在原子層沉積製程中依序地脈衝矽源前驅物、氮和碳源前驅物、和氧源前驅物,之後進行退火製程以降低氮濃度。以下參照第10圖和第11A圖至第11F圖來描述用於形成第二閘極間隔物層88的那些實施例的第二閘極間隔物層88和原子層沉積和退火製程的多個實施例的附加的細節。
第4A圖和第4B圖繪示了包括第一閘極間隔物層 86和第二閘極間隔物層88的多個相應的部分的閘極間隔物的形成。沿著閘極堆疊的多個側壁(例如,介電層80、閘極層82、和遮罩84的側壁)並在鰭片74上方形成閘極間隔物(例如,如圖所繪示的雙層閘極間隔物)。例如,根據高於隔離區域78的鰭片74的高度,殘留的閘極間隔物也可沿著鰭片74的側壁殘留。形成閘極間隔物可經由各向異性地蝕刻第二閘極間隔物層88和第一閘極間隔物層86。蝕刻製程可以包括反應性離子蝕刻、中性束蝕刻、或另一種蝕刻製程。在其它的實施方式中,多層閘極間隔物可包括附加的和/或不同的層和/或材料。
第5A圖和第5B圖繪示了源極/汲極區域92的形成。在閘極堆疊的相對的多個側上的鰭片74中形成凹陷處,並且在凹陷處中形成源極/汲極區域92。凹陷化可以經由蝕刻製程。蝕刻製程可以是各向同性的或各向異性的,或者進一步地,可相對於半導體基板70的一或多個晶面是選擇性的。因此,基於所實施的蝕刻製程,凹陷處可以具有各種截面輪廓。當形成凹陷處時,第二閘極間隔物層88可以暴露於蝕刻化學物質。如本文所描述的內容,第二閘極間隔物層88可以具有改善的抗蝕刻化學物質的阻抗性,同時保持目標電容效率的較低的k值。
如在第5A圖和第5B圖中所示,外延的源極/汲極區域92可形成在凹陷處中。外延的源極/汲極區域92可包括或者是矽鍺、矽碳化物、矽磷、III-V族化合物半導體、II-VI族化合物半導體、或類似者。外延的源極/汲 極區域92可形成在凹陷處中,經由在凹陷處中外延地成長一材料,例如經由有機金屬化學氣相沉積(metal-organic CVD,MOCVD)、分子束外延(molecular beam epitaxy,MBE)、液相外延(liquid phase epitaxy,LPE)、氣相外延(vapor phase epitaxy,VPE)、選擇性外延的成長(selective epitaxial growth,SEG)、類似者、或其組合。外延的源極/汲極區域92可延伸超過鰭片74的側壁和頂表面(例如,凸起的),並且可具有多個晶面其可對應於半導體基板70的多個晶態的面。在一些實施例中,使用不同的材料用於外延的源極/汲極區域92,以用於p型裝置和n型裝置。在凹陷化或外延的成長期間的適當的遮蓋可允許在不同的裝置中使用不同的材料。
本領域通常技術人員也將容易理解,可省略源極/汲極區域92的凹陷化和外延的成長,並且形成源極/汲極區域可經由將摻質佈植入鰭片74內,使用閘極堆疊和閘極間隔物作為遮罩。在其中實施了外延的源極/汲極區域92的一些實施例中,外延的源極/汲極區域92也可被摻雜,例如經由在外延的成長期間的原位摻雜和/或經由在外延的成長之後將摻質佈植到外延的源極/汲極區域92內。因此,劃定源極/汲極區域可經由摻雜(例如,如果合適的話,經由佈植和/或外延的成長期間原位(in situ))和/或經由外延的成長(如果合適的話),這可以進一步劃定其中源極/汲極區域所劃定的主動區域。與一些其它的閘極間隔物材 料相比,因為第二閘極間隔物層88的高密度,第二閘極間隔物層88能夠經受住佈植製程,來自粒子的轟擊的損害較小。
第6A圖和第6B圖為閘極先製程的延續,繪示在鰭片74、外延的源極/汲極區域92、和閘極堆疊上方的介電層的形成,以及穿過介電層至外延的源極/汲極區域92的導電特徵104的形成。在源極/汲極區域92的形成之後,經由任何可接受的製程,在外延的源極/汲極區域92的表面、多層閘極間隔物的側壁和頂表面、遮罩84的頂表面、和隔離區域78的頂表面上保形地沉積接觸蝕刻停止層(contact etch stop layer,CESL)96。通常,蝕刻停止層可以在形成例如接觸件或導孔時提供停止蝕刻製程的機制。蝕刻停止層可由與鄰近的層或組件具有不同的蝕刻選擇性的介電材料所形成。接觸蝕刻停止層96可包括矽氮化物、矽碳氮化物、類似者、或其組合。
可在接觸蝕刻停止層96上方形成第一層間介電質(interlayer dielectric,ILD)100。第一層間介電質100可包括或者是二氧化矽、低k介電材料,例如矽氧氮化物、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)、有機矽酸鹽玻璃(organosilicate glasses,OSG)、 SiOxCy、旋塗玻璃、旋塗聚合物、矽碳化物材料、其化合物、其複合物、類似者、或其組合。沉積第一層間介電質100可經由任何可接受的製程。在如第6A圖和第6B圖中所示的閘極先製程中,第一層間介電質100的頂表面可高於接觸蝕刻停止層96和閘極堆疊的上部。因此,接觸蝕刻停止層96的上部可保留在閘極堆疊上方。
然後在穿過第一層間介電質100和接觸蝕刻停止層96的開口中形成導電特徵104,以與外延的源極/汲極區域92連接。例如,導電特徵104可包括黏附和/或阻障層、以及在黏附和/或阻障層上的導電材料。在一些實施例中,導電特徵104可包括在外延的源極/汲極區域92上的矽化物區域106,如圖所繪示。黏附和/或阻障層可保形地沉積在開口中和在第一層間介電質100上方。黏附和/或阻障層可以是或者包括鈦、鈦氮化物、鈦氧化物、鉭、鉭氮化物、鉭氧化物、類似者、或其組合。矽化物區域106可形成在外延的源極/汲極區域92的上部上,經由外延的源極/汲極區域92的上部與黏附和/或阻障層反應。可以執行退火製程,以促進外延的源極/汲極區域92與黏附和/或阻障層的反應。導電材料可以沉積在黏附層和/或阻障層上並且填充開口。導電材料可以是或者包含鎢、銅、鋁、金、銀、其合金、類似者、或其組合。導電特徵104可以是或者可以被稱為接觸件、插塞等。
第7A圖至第7B圖和第8A圖至第8B圖繪示了本文所描述的替換閘極製程的其它方面。首先如上文參照 第1A圖至第1C圖一直到第5A圖至第5B圖所述的執行處理,然後進行到第7A圖至第7B圖。
第7A圖和第7B圖繪示了在第5A圖至第5B圖中的外延的源極/汲極區域的形成之後,利用替換閘極結構的閘極堆疊的替換。接觸蝕刻停止層96和第一層間介電質100可形成為如在第6A圖和第6B圖中所描述的內容。第一層間介電質100和接觸蝕刻停止層96形成為具有多個頂表面其與閘極層82的頂表面共平面。可執行例如化學機械研磨的平坦化製程,以使第一層間介電質100的頂表面和接觸蝕刻停止層96與閘極層82的頂表面齊平。化學機械研磨也移除在閘極層82上的遮罩84(以及,在一些情況下,多層閘極間隔物的上部)。據此,通過第一層間介電質100和接觸蝕刻停止層96而暴露了閘極層82的頂表面。
通過第一層間介電質100和接觸蝕刻停止層96暴露了閘極層82,移除閘極層82和介電層80,例如經由一或多次蝕刻製程。移除閘極層82可經由對閘極層82有選擇性的蝕刻製程,其中介電層80充當蝕刻停止層,並且隨後移除介電層80可以經由對介電層80有選擇性的不同的蝕刻製程。蝕刻製程可以是例如反應性離子蝕刻、中性束蝕刻、濕式蝕刻、或另一種蝕刻製程。在移除了閘極堆疊的多層閘極間隔物之間形成凹陷處,並且通過凹陷處暴露了鰭片74的通道區域。
在閘極層82和介電層80的移除期間,第二閘極間隔物層88的頂部暴露於蝕刻化學物質。第二閘極間隔物 層88可以具有提高的密度,以耐受蝕刻的化學物質,减少蝕刻期間的間隔物損失,並提高在裝置中的電容效率。
在移除了閘極層82和介電層80之後,在其中移除了閘極堆疊的凹陷處中形成替換閘極結構。替換閘極結構各者包括一或多個保形層120和閘極電極122。一或多個保形層120包括閘極介電層,並且可包括一或多個功函數調諧層。閘極介電層可以保形地沉積在其中移除了閘極堆疊的凹陷處中。閘極介電層可以是或者包括矽氧化物、矽氮化物、高k介電材料、其多層、或其它的介電材料。然後,如果實施的話,功函數調諧層可保形地沉積在閘極介電層上。功函數調諧層可包括或者是鉭、鉭氮化物、鈦、鈦氮化物、類似者、或其組合。類似於第一功函數調諧層,可順序地沉積任何附加的功函數調諧層。閘極電極122形成在一或多個保形層120上方。用於閘極電極122的層可以填充其中移除了閘極堆疊的剩餘的凹陷處。閘極電極122可以是或者包括含金屬的材料,例如Co、Ru、Al、W、Cu、其多層、或其組合。
第8A圖和第8B圖繪示了在替換閘極結構和第一層間介電質100上方的第二層間介電質130的形成,以及穿過第二層間介電質130、第一層間介電質100、和接觸蝕刻停止層96到達外延的源極/汲極區域92的導電特徵134的形成。第二層間介電質130形成在第一層間介電質100、替換閘極結構、閘極間隔物層、和接觸蝕刻停止層96上方。儘管未繪示,但是在一些實施例中,蝕刻停止層 (etch stop layer,ESL)可沉積在第一層間介電質100上方等等,並且第二層間介電質130可沉積在蝕刻停止層上方。如果實施的話,蝕刻停止層可包括或者是矽氮化物、矽碳氮化物、矽碳氧化物、碳氮化物、類似者、或其組合。第二層間介電質130可包括或者是二氧化矽、低k介電材料,例如矽氧氮化物、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼摻雜的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、氟摻雜的矽酸鹽玻璃、有機矽酸鹽玻璃、SiOxCy、旋塗玻璃、旋塗聚合物、矽碳材料、其化合物、其複合物、類似者、或者其組合。形成導電特徵134可穿過第二層間介電質130、第一層間介電質100、和接觸蝕刻停止層96到外延的源極/汲極區域92,並且具有矽化物區域136,類似於以上關於第6A圖至第6B圖的導電特徵104的描述。
第9圖是根據一些實施方式的用於形成閘極間隔物的製程流程200。在操作202中,可選地,在裝置結構上形成第一閘極間隔物層,例如大致上繪示在第2A圖和第2B圖中並參照第2A圖和第2B圖所描述的內容。形成第一閘極間隔物層可經由原子層沉積製程。第一閘極間隔物層可以是SiOCN層、SiOC層、SiN層、SiON層、或類似者。第一閘極間隔物層可以具有比後續的閘極間隔物層更高的k值。可省略這個操作202。
在操作204中,形成第二閘極間隔物層,例如第二閘極間隔物層88(第3A圖和第3B圖),使用原子層沉積製程和退火製程,以實現目標膜密度和目標k值。第二 閘極間隔物層形成在第一閘極間隔物層上,如果可選地實施的話,或者形成在裝置結構上。大致上,第二閘極間隔物層的形成繪示在第3A圖和第3B圖中並參照第3A圖和第3B圖所描述的內容。第二閘極間隔物層可以是具有高的碳濃度與氮濃度比率的SiOCN層,比率例如2比1至約10比1。由於碳濃度與氮濃度的高比率,第二閘極間隔物層具有低的k值。在一些實施方式中,操作204包括使用原子層沉積製程形成介電層,並在原子層沉積製程之後將介電層退火。第10圖描述了根據本揭示內容的一些實施方式的可以在操作204中使用的製程。
在操作206中,各向異性地蝕刻第二閘極間隔物層和第一閘極間隔物層(如果實施的話),以形成閘極間隔物層,例如繪示在第4A圖和第4B圖中並參照第4A圖和第4B圖所描述的內容。
第10圖是根據一些實施方式的用於形成例如第二閘極間隔物層88的介電層的製程流程300。製程流程300可用於在操作204中形成第二閘極間隔物層。
在這個實施例中,製程流程300包括原子層沉積製程和退火製程。經由製程流程300所形成的層可以是或包括矽氧碳氮化物(SiOCN)或另一種材料。在製程流程300中的層可經由原子層沉積製程而形成,原子層沉積製程包括在多個循環中順序地流動矽源前驅物、氮和碳源前驅物、以及氧源前驅物。在原子層沉積製程之後執行退火製程,以實現目標k值和材料特性。多種前驅物之間的反 應與退火製程相結合可以使得介電質膜具有較低的k值,例如低於4.1,例如從約3.8至約4,强的電漿和蝕刻化學物質阻抗性,以及在後續的製程中抵抗物理性轟擊的高密度。當用於閘極間隔物層中時,此層可以提供具有用於電容效率的較低k值的膜和在後續的製程中抵抗損壞的堅固的膜,從而產生具有改進的性能的電晶體。
操作302至操作310繪示了原子層沉積製程的循環。此循環包括交替的流動(或脈衝)和清除操作,其中每種前驅物在循環期間流動(或脈衝)並隨後清除至少一次。在一些實施方式中,執行原子層沉積製程可在原子層沉積腔室中,在範圍從約400攝氏度至約800攝氏度的溫度下,例如從約620攝氏度至約640攝氏度。第11A圖至第11F圖示意性繪示了根據一些實施方式在原子層沉積製程期間的多個反應。
在操作302中,矽源前驅物流入原子層沉積腔室。矽源前驅物流入原子層沉積腔室,原子層沉積腔室具有待處理的基板,例如其上形成有在第2A圖至第2B圖中所示的裝置結構的基板。矽源前驅物與在基板表面上的末端基團反應,形成中間產物的一個單層。在一些實施方式中,矽源前驅物可以是六氯乙矽烷(hexachlorodisilane,HCD,Si2Cl6)、或其它者。
第11A圖示意性繪示了在第2A圖至第2B圖中所示的裝置結構的基板表面上的鍵,裝置結構具有介電材料表面,例如第一閘極間隔物層86。如在第11A圖中所 示,在一些實施方式中,表面具有連接到矽的氮原子的末端基團(或(-NH)基團)。第11B圖示意性繪示了當六氯乙矽烷流入原子層沉積腔室時,在操作302中在表面上的反應。例如,在原子層沉積腔室中的處理溫度下,六氯乙矽烷可與表面的末端基團反應,以將矽和氯原子附著到在表面上的氮原子,從而在基板表面上形成N-Si-Cl鍵,同時產生了與SiCl3鍵合的氫作為副產物。在一些實施方式中,六氯乙矽烷前驅物與基板表面反應,在基板表面上形成單層的分子的第一中間物膜。在一些實施方式中,六氯乙矽烷前驅物流到原子層沉積腔室內,以範圍從約0.2每分鐘標準升(slm)至約0.8slm的流速,例如約0.5slm。六氯乙矽烷前驅物可流到原子層沉積腔室內,持續了範圍從約15秒至約25秒的持續期間,例如約20秒。
在操作303中,清除原子層沉積腔室,以從原子層沉積腔室中移除在操作302中的副產物和未消耗的矽源前驅物。
在操作304中,氮和碳源前驅物流到原子層沉積腔室內。在一些實施方式中,氮和碳源前驅物可包括三乙胺(N(C2H5)3,也稱為RENA)、或其它者。第11C圖示意性地繪示了三乙胺分子接近具有Si-Cl鍵的基板表面。三乙胺可在原子層沉積腔室中分解,並與在基板表面上的中間物膜反應。例如,在分解的三乙胺中鍵合到氮(N)的鍵可斷裂,以允許分解的三乙胺取代在基板表面上Si-Cl鍵中的Cl,以形成第二中間物膜。斷裂脫離Si-Cl鍵的氯原 子可與在分解的三乙胺中的C2H5反應,以形成C2H5Cl作為副產物。第11D圖示意性繪示了分解的三乙胺取代了在基板的表面上的Cl原子。在一些實施方式中,三乙胺可與表面層反應,以在基板表面上形成單層的分子的第二中間物膜。在一些實施方式中,三乙胺前驅物流到原子層沉積腔室內,以範圍從約0.2slm至約0.8slm的流速,例如約0.5slm。三乙胺前驅物可流到原子層沉積腔室內,持續了範圍從約15秒至約25秒的持續期間,例如約20秒。
在操作306中,清除原子層沉積腔室,以從原子層沉積腔室中移除在操作304中的副產物和未消耗的氮和碳源前驅物。
在操作308中,使氧源前驅物流到原子層沉積腔室。在一些實施方式中,氧源前驅物可包括氧氣(O2),如在第11E圖中所示。在一些實施方式中,氧前驅物流到原子層沉積腔室內,以範圍從約2slm至約8slm的流速,例如約5slm。氧前驅物可流到原子層沉積腔室內,持續了範圍從約20秒至約30秒的持續期間,例如約25秒。在第二中間物膜上的分解的三乙胺可在O2環境中進一步熱分解,產生Si-O鍵並釋放NH(C2H5)和醛基。一個實施例反應可以是:
Figure 111135889-A0305-02-0023-1
在基板的表面上形成的所得的SiOCN層在第11F圖中示出。
在操作310中,清除原子層沉積腔室,以從原子層沉積腔室中移除在操作308中的副產物和未消耗的氧源前驅物。
原子層沉積製程的一個循環包括操作302至操作310。在操作310之後,在操作312中,確定是否要執行附加的多個循環。如果是,則執行另一個循環。可以執行任意數量的循環,以沉積具有預定厚度的閘極間隔物層,例如第二閘極間隔物層88(第3A圖和第3B圖)。
如果不需要附加的多個循環,則可以結束原子層沉積製程。沉積的膜可以是SiOCN層。初沉積後,此膜可包括在從約13原子百分比至約13.5原子百分比的範圍內的碳,例如,約13.2原子百分比。初沉積後,此膜可包括在從約12原子百分比至約12.5原子百分比範圍內的氮,例如,約12.2原子百分比。初沉積後,此膜可包括在從約20原子百分比至約30原子百分比範圍內的矽,例如,約 25.6原子百分比。初沉積後,此膜可包括在從約45原子百分比至約55原子百分比範圍內的氧,例如,約49.1原子百分比。
在一些實施方式中,初沉積的SiOCN層的碳濃度與氮濃度的比率大於1。換句話說,碳濃度基本上大於氮濃度。如以上所描述的內容,第二閘極間隔物層88具有在從約1原子百分比至約10原子百分比的範圍內的碳濃度,在從約1原子百分比至約5原子百分比的範圍內的氮濃度,和在從約2比1至約10比1的範圍內的碳濃度與氮濃度的比率。為了使第二閘極間隔物層88具有這樣的組成分,初沉積的SiOCN層具有的碳濃度基本上大於氮濃度。在一些實施方式中,矽源前驅物、碳和氮源前驅物、與氧源前驅物的比率的範圍在約1比1比8和約1比1比12之間,例如約1比1比10,並且矽源前驅物、碳和氮源前驅物、與氧源前驅物流到原子層沉積腔室內的持續期間的比率的範圍在約1比1比1.1和約1比1比1.2之間。如果前驅物的流速和流動持續期間在上述的範圍之外,則碳濃度可能不會基本上大於氮濃度。例如,如果氧源前驅物的流速和流動時間在上述的範圍之外,則諸如氧氣的氧源前驅物攻擊碳和氮二者,並且會替換更多的碳。因此,如果氧氣流動時間大於約30秒,則更多的碳被氧取代,導致氮濃度大於碳濃度。另一方面,如果氧氣流動時間小於約20秒,則沒有足夠的碳和氮原子被氧取代以形成SiOCN層。
在操作314中,對經由原子層沉積製程所形成的 膜執行退火製程,以獲得所期望的性能。執行退火製程在包含H2O的環境中。執行退火製程可在範圍從約300攝氏度至約600攝氏度的溫度下。當在H2O環境中執行退火製程時,初沉積的SiOCN層的碳濃度受到的影響基本上小於氮濃度。換句話說,在退火製程之後,SiOCN層的氮濃度基本上比碳濃度降低得多。結果,SiOCN層的碳濃度與氮濃度的比率在從約2比1至約10比1的範圍內。如果退火製程在除了H2O之外的環境中執行,例如N2、O2、或H2,則碳濃度和氮濃度都基本上降低,使得所得的層的氮濃度基本上大於碳濃度。因此,因為初沉積的SiOCN層具有比氮濃度更大的碳濃度,並且退火製程是在包含H2O的環境中執行的,所以所得的SiOCN層或第二閘極間隔物層88(第3A圖和第3B圖)的碳濃度與氮濃度的比率在從約2比1至約10比1的範圍內。較低的氮濃度導致了較低的k值,這提高了在裝置中的電容效率。
再次參看第8A圖,在一些實施方式中,第一閘極間隔物86包括SiOC其具有碳濃度與氮濃度的第一比率,第二閘極間隔物88包括SiOCN其具有碳濃度與氮濃度的第二比率,並且接觸蝕刻停止層96包括SiN或SiCN其具有碳濃度與氮濃度的第三比率。第一比率基本上大於第二比率,第二比率基本上大於第三比率。在一些實施方式中,第一閘極間隔物86包括SiOCN其具有碳濃度與氮濃度的第一比率,第二閘極間隔物88包括SiOCN其具有碳濃度與氮濃度的第二比率,並且接觸蝕刻停止層96包括 SiN或SiCN其具有碳濃度與氮濃度的第三比率。第二比率基本上大於第一比率,第一比率基本上大於第三比率。氮濃度越低,k值越低。在一些層中的氮濃度相對於碳濃度較高,以便在某些蝕刻製程中提供蝕刻阻抗性。
本揭示內容在各個實施方式中提供了形成半導體裝置結構的方法。在一些實施方式中,此方法包括形成閘極間隔物層,例如第二閘極間隔物層88,經由使矽源前驅物、碳和氮源前驅物、以及氧源前驅物流到原子層沉積腔室內,以特定的流速持續特定的時間量,以形成初沉積的SiOCN層,隨後在H2O環境中將此初沉積的SiOCN層退火,以形成SiOCN層其具有在從約2.0g/cm3至約2.8g/cm3範圍內的密度且具有小於約4.1k值,例如約3.8至約4.0。一些實施方式可實現多個優點。例如,利用具有高密度和低k值的第二閘極間隔物層88,提高了在某些蝕刻製程中的電漿阻抗性和在裝置中的電容效率。
一個實施方式是一種方法。此方法包括形成介電層,此介電層包括使用原子層沉積製程形成初沉積的層。使用原子層沉積製程形成初沉積的層包括以第一流速將矽源前驅物流到製程腔室內,以第二流速將碳和氮源前驅物流到製程腔室內,以及以第三流速將氧源前驅物流到製程腔室內。第一流速與第二流速與第三流速的比率的範圍在約1比1比8和1比1比12之間,並且初沉積的層具有基本上大於氮濃度的碳濃度。此方法還包括在包括H2O的環境中將初沉積的層退火,以形成介電層,並且此介電層的碳 濃度與氮濃度的比率的範圍從約2比1至約10比1。
在一些實施方式中,在形成半導體裝置結構的方法中,矽源前驅物包含六氯乙矽烷。
在一些實施方式中,在形成半導體裝置結構的方法中,碳和氮源前驅物包含三乙胺。
在一些實施方式中,在形成半導體裝置結構的方法中,氧源前驅物包含氧氣。
在一些實施方式中,在形成半導體裝置結構的方法中,執行原子層沉積製程在製程腔室中在範圍從約620攝氏度至約640攝氏度的溫度下。
在一些實施方式中,在形成半導體裝置結構的方法中,矽源前驅物流到製程腔室內持續了範圍從約15秒至約25秒的持續期間。
在一些實施方式中,在形成半導體裝置結構的方法中,碳和氮源前驅物流到製程腔室內,持續了範圍從約15秒至約25秒的持續期間。
在一些實施方式中,在形成半導體裝置結構的方法中,氧源前驅物流到製程腔室內持續了範圍從約20秒至約30秒的持續期間。
另一個實施方式是一種方法。此方法包括在基板上方形成鰭片,在鰭片上方形成閘極堆疊,以及在閘極堆疊上方形成第一閘極間隔物層。在閘極堆疊上方形成第一閘極間隔物層包括:使用原子層沉積製程形成初沉積的層,此原子層沉積製程包括將矽源前驅物流到製程腔室內持續 第一持續期間,將碳和氮源前驅物流到製程腔室內持續第二持續期間,以及將氧源前驅物流到製程腔室內持續第三持續期間。第一持續期間與第二持續期間與第三持續期間的比率的範圍在約1比1比1.1和約1比1比1.2之間,並且初沉積的層具有碳濃度其基本上大於氮濃度。形成第一閘極間隔物層還包括在包括H2O的環境中將初沉積的層退火,以形成第一閘極間隔物層,並且第一閘極間隔物層具有碳濃度其基本上大於氮濃度。
在一些實施方式中形成半導體裝置結構的方法還包含在閘極堆疊上形成第二閘極間隔物層,其中第一閘極間隔物層形成在第二閘極間隔物層上。
在一些實施方式中,形成半導體裝置結構的方法還包含各向異性地蝕刻第一閘極間隔物層和第二閘極間隔物層。
在一些實施方式中,形成半導體裝置結構的方法還包含在第一閘極間隔物層、第二閘極間隔物層、和閘極堆疊上方形成接觸蝕刻停止層。
在一些實施方式中,在形成半導體裝置結構的方法中,第一閘極間隔物層包含SiOCN,第二閘極間隔物層包含SiOC,並且接觸蝕刻停止層包含SiN或SiCN。
在一些實施方式中,在形成半導體裝置結構的方法中,第一閘極間隔物層包含SiOCN,第二閘極間隔物層包含SiOCN,並且接觸蝕刻停止層包含SiN或SiCN。
另一個實施方式是一種方法。此方法包括將矽源前 驅物流到製程腔室內以範圍從約0.2slm至約0.8slm的第一流速持續約15秒至約25秒,將碳和氮源前驅物流到製程腔室內以範圍從約0.2slm至約0.8slm的第二流速持續約15秒至約25秒,以及將氧源前驅物流到製程腔室內以範圍從約2slm至約8slm的第三流速持續約20秒至約30秒。形成初沉積的層。此方法還包括在包括H2O的環境中將初沉積的層退火,以形成介電層,並且此介電層的碳濃度與氮濃度的比率的範圍從約2比1至約10比1。
在一些實施方式中,在用於形成介電層的方法中,矽源前驅物包含六氯乙矽烷。
在一些實施方式中,在用於形成介電層的方法中,碳和氮源前驅物包含三乙胺。
在一些實施方式中,在用於形成介電層的方法中,氧源前驅物包含氧氣。
在一些實施方式中,在用於形成介電層的方法中,介電層包含一SiOCN層。
在一些實施方式中,在用於形成介電層的方法中,介電層的碳濃度範圍從約1原子百分比至約10原子百分比,並且介電層的氮濃度範圍從約1原子百分比至約5原子百分比。
以上概述了數個實施方式的多個特徵,以便本領域技術人員可較佳地理解本揭示內容的多個態樣。本領域的技術人員應理解,他們可能容易地使用本揭示內容,作為 其它製程和結構之設計或修改的基礎,以實現與在此介紹的實施方式的相同的目的,和/或達到相同的優點。本領域技術人員亦應理解,與這些均、或類似者的建構不脫離本揭示內容的精神和範圍,並且他們可進行各種改變、替換、和變更,而不脫離本揭示內容的精神和範圍。
300:製程流程
302:操作
303:操作
304:操作
306:操作
308:操作
310:操作
312:操作
314:操作

Claims (10)

  1. 一種形成半導體裝置結構的方法,包含:形成一介電層,包含:形成一初沉積的層,使用一原子層沉積製程,包含:以一第一流速將一矽源前驅物流到一製程腔室內;以一第二流速將一碳和氮源前驅物流到該製程腔室內;和以一第三流速將一氧源前驅物流到該製程腔室內;其中該第一流速與該第二流速與該第三流速的一比率的範圍在1比1比8和1比1比12之間,並且其中該初沉積的層具有一碳濃度其基本上大於一氮濃度;和在包括H2O的一環境中將該初沉積的層退火,以形成該介電層,其中該介電層的碳濃度與氮濃度的一比率的範圍從2:1至10:1。
  2. 如請求項1所述之形成半導體裝置結構的方法,其中該矽源前驅物包含六氯乙矽烷。
  3. 如請求項1所述之形成半導體裝置結構的方法,其中執行該原子層沉積製程在該製程腔室中在範圍從620攝氏度至640攝氏度的溫度下。
  4. 如請求項1所述之形成半導體裝置結構的方法,其中該矽源前驅物流到該製程腔室內持續了範圍從15秒至25秒的持續期間。
  5. 一種形成半導體裝置結構的方法,包含:在一基板上方形成一鰭片;在該鰭片上方形成一閘極堆疊;以及在該閘極堆疊上方形成一第一閘極間隔物層,包含:形成一初沉積的層,使用一原子層沉積製程,包含:將一矽源前驅物流到一製程腔室內持續一第一持續期間;將一碳和氮源前驅物流到該製程腔室內持續一第二持續期間;和將一氧源前驅物流到該製程腔室內持續一第三持續期間,其中該第一持續期間與該第二持續期間與該第三持續期間的比率的範圍在從1比1比1.1和1比1比1.2之間,並且其中該初沉積的層具有一碳濃度其基本上大於一氮濃度;和在包括H2O的一環境中將該初沉積的層退火,以形成該第一閘極間隔物層,其中該第一閘極間隔物層具有一碳濃度其基本上大於一氮濃度。
  6. 如請求項5所述之形成半導體裝置結構的方 法,還包含在該閘極堆疊上形成一第二閘極間隔物層,其中該第一閘極間隔物層形成在該第二閘極間隔物層上。
  7. 如請求項6所述之形成半導體裝置結構的方法,還包含各向異性地蝕刻該第一閘極間隔物層和該第二閘極間隔物層。
  8. 一種形成介電層的方法,包含:將一矽源前驅物流到一製程腔室內以範圍從0.2slm至0.8slm的一第一流速持續15秒至25秒;將一碳和氮源前驅物流到該製程腔室內以範圍從0.2slm至0.8slm的一第二流速持續15秒至25秒;將一氧源前驅物流到該製程腔室內以範圍從2slm至8slm的一第三流速持續20秒至30秒,其中形成一初沉積的層;以及在包括H2O的一環境中將該初沉積的層退火,以形成該介電層,其中該介電層的碳濃度與氮濃度的一比率的範圍從2:1至10:1。
  9. 如請求項8所述之形成介電層的方法,其中該矽源前驅物包含六氯乙矽烷。
  10. 如請求項9所述之形成介電層的方法,其中該碳和氮源前驅物包含三乙胺。
TW111135889A 2021-11-12 2022-09-22 形成半導體裝置結構的方法和形成介電層的方法 TWI832442B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163278805P 2021-11-12 2021-11-12
US63/278,805 2021-11-12
US17/824,129 2022-05-25
US17/824,129 US20230154746A1 (en) 2021-11-12 2022-05-25 Semiconductor device structure and methods of forming the same

Publications (2)

Publication Number Publication Date
TW202320225A TW202320225A (zh) 2023-05-16
TWI832442B true TWI832442B (zh) 2024-02-11

Family

ID=86324010

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111135889A TWI832442B (zh) 2021-11-12 2022-09-22 形成半導體裝置結構的方法和形成介電層的方法

Country Status (2)

Country Link
US (1) US20230154746A1 (zh)
TW (1) TWI832442B (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201913756A (zh) * 2017-08-31 2019-04-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法、鰭式場效電晶體裝置及其形成方法
TW202002086A (zh) * 2018-06-29 2020-01-01 台灣積體電路製造股份有限公司 半導體結構的形成方法
US20200350171A1 (en) * 2019-05-05 2020-11-05 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and formation method thereof
US20210098457A1 (en) * 2019-09-27 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-threshold gate structure with doped gate dielectric layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201913756A (zh) * 2017-08-31 2019-04-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法、鰭式場效電晶體裝置及其形成方法
TW202002086A (zh) * 2018-06-29 2020-01-01 台灣積體電路製造股份有限公司 半導體結構的形成方法
US20200350171A1 (en) * 2019-05-05 2020-11-05 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and formation method thereof
US20210098457A1 (en) * 2019-09-27 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-threshold gate structure with doped gate dielectric layer

Also Published As

Publication number Publication date
US20230154746A1 (en) 2023-05-18
TW202320225A (zh) 2023-05-16

Similar Documents

Publication Publication Date Title
CN109841563B (zh) 选择性覆盖工艺和由此形成的结构
US11817343B2 (en) Dielectric gap fill
US20210296168A1 (en) Conductive Feature Formation and Structure
TWI724508B (zh) 半導體結構及其製造方法
US10304677B2 (en) Low-k feature formation processes and structures formed thereby
US11640978B2 (en) Low-k feature formation processes and structures formed thereby
US20230317448A1 (en) Varying Temperature Anneal for Film and Structures Formed Thereby
US11127637B2 (en) Semiconductor device convex source/drain region
TWI694524B (zh) 半導體結構及其形成方法
TWI832442B (zh) 形成半導體裝置結構的方法和形成介電層的方法
CN115527944A (zh) 制造半导体元件的方法
TWI807711B (zh) 積體電路結構及其形成方法
CN117316768A (zh) 无体积氟掺入方法
KR20240028313A (ko) 볼륨리스 불소 혼입 방법