TW201913756A - 半導體裝置的形成方法、鰭式場效電晶體裝置及其形成方法 - Google Patents

半導體裝置的形成方法、鰭式場效電晶體裝置及其形成方法 Download PDF

Info

Publication number
TW201913756A
TW201913756A TW106139893A TW106139893A TW201913756A TW 201913756 A TW201913756 A TW 201913756A TW 106139893 A TW106139893 A TW 106139893A TW 106139893 A TW106139893 A TW 106139893A TW 201913756 A TW201913756 A TW 201913756A
Authority
TW
Taiwan
Prior art keywords
fin
layer
forming
silicon
semiconductor
Prior art date
Application number
TW106139893A
Other languages
English (en)
Other versions
TWI677909B (zh
Inventor
王尹
周鴻儒
郭俊銘
林瑋耿
李俊德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913756A publication Critical patent/TW201913756A/zh
Application granted granted Critical
Publication of TWI677909B publication Critical patent/TWI677909B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

半導體裝置的形成方法包含在基底的第一區中的第一鰭片之上形成半導體蓋層,在半導體蓋層之上形成介電層,以及在介電層之上形成絕緣材料,相較於第一鰭片的上表面,絕緣材料的上表面更遠離基底延伸。此方法更包含凹蝕絕緣材料,以暴露出第一鰭片的頂部,以及在第一鰭片的頂部之上形成閘極結構。

Description

鰭式場效電晶體裝置及其製造方法
本發明實施例是關於半導體裝置製造技術,特別是有關於鰭式場效電晶體裝置及其製造方法。
半導體產業因為持續增進各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體(integration)密度,已經歷了快速成長。在大部分情況下,這種在積體密度上的增進來自於不斷地縮減最小部件(feature)的尺寸,這使得更多的元件可以被整合至指定的面積內。
在積體電路中越來越廣泛地使用鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)裝置。鰭式場效電晶體裝置具有三維的(three-dimensional)結構,其包含從基底突出的半導體鰭片。閘極結構配置為控制鰭式場效電晶體裝置的導電通道內的電荷載體的流動,且閘極結構環繞半導體鰭片。舉例來說,在三閘極(tri-gate)鰭式場效電晶體裝置中,閘極結構環繞半導體鰭片的三個側邊,藉此在半導體鰭片的三個側邊上形成導電通道。
本發明的一些實施例提供半導體裝置的形成方法,此方法包含在基底的第一區中的第一鰭片之上形成半導 體蓋層,在半導體蓋層之上形成介電層,在介電層之上形成絕緣材料,相較於第一鰭片的上表面,絕緣材料的上表面更遠離基底延伸,凹蝕絕緣材料,以暴露出第一鰭片的頂部,以及在第一鰭片的頂部之上形成閘極結構。
本發明的一些實施例提供鰭式場效電晶體裝置的形成方法,此方法包含在基底的P型金屬氧化物半導體區中形成第一鰭片,在基底的N型金屬氧化物半導體區中形成第二鰭片,N型金屬氧化物半導體區相鄰於P型金屬氧化物半導體區,以及在第一鰭片和第二鰭片之上形成矽蓋層。此方法還包含對矽蓋層執行氮化處理,在矽蓋層之上形成第一介電材料,在第一鰭片與第二鰭片之間且在第一鰭片與第二鰭片之上沉積第二介電材料,其中第一介電材料介於矽蓋層與第二介電材料之間,以及執行熱製程以將第二介電材料硬化。此方法更包含在熱製程之後,凹蝕第二介電材料,以暴露出第一鰭片的頂部和第二鰭片的頂部,以及在第一鰭片之上形成第一閘極結構,且在第二鰭片之上形成第二閘極結構。
本發明的一些實施例提供鰭式場效電晶體裝置,其包含突出於隔離區的上表面上方的鰭片,隔離區在鰭片的兩側上,介於鰭片與隔離區之間矽蓋層,介於矽蓋層與隔離區之間的第一介電材料,以及不同於第一介電材料且介於第一介電材料與隔離區之間的第二介電材料,第二介電材料包含第一介電材料的氧化物。
30‧‧‧鰭式場效電晶體
50、51‧‧‧基底
50A‧‧‧半導體材料
50B‧‧‧半導體材料
50U、62U‧‧‧上表面
52‧‧‧圖案化墊氧化物
53‧‧‧遮罩層
56‧‧‧圖案化墊氮化物
58‧‧‧圖案化遮罩
61‧‧‧溝槽
62‧‧‧隔離區
63‧‧‧界面
64、64A、64B‧‧‧鰭片
65‧‧‧輕摻雜汲極區
66‧‧‧閘極介電層
67‧‧‧開口
68‧‧‧閘極電極
69‧‧‧虛線
70‧‧‧遮罩
72‧‧‧第一閘極間隔物
73‧‧‧第二閘極間隔物
74‧‧‧閘極間隔物
75、75A、75B‧‧‧虛設閘極結構
80、80A、80B‧‧‧源極/汲極區
82‧‧‧矽化物區
83‧‧‧蓋層
85、86‧‧‧介電層
87‧‧‧頂層
88‧‧‧介電襯層堆疊
89‧‧‧凹陷
90‧‧‧第一層間介電層
91、93‧‧‧接觸件開口
92、109‧‧‧晶種層
94、104‧‧‧阻障層
95‧‧‧第二層間介電層
96‧‧‧閘極介電層
97‧‧‧置換閘極
98、98A、98B‧‧‧閘極電極
99A‧‧‧第一置換閘極
99B‧‧‧第二置換閘極
100‧‧‧鰭式場效電晶體裝置
102‧‧‧接觸件
200、300‧‧‧區域
410‧‧‧氮化處理
420、430‧‧‧退火製程
1010、1020、1030、1040、1050、1060‧‧‧步驟
H1、H3‧‧‧鰭片高度
H2‧‧‧高度
P1‧‧‧節距
P2‧‧‧鰭片節距
T1、T2、T3、T4、T5‧‧‧厚度
W1、W2、W3、W4‧‧‧鰭片寬度
藉由以下的詳細描述配合所附圖式,可以更加理 解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1圖是根據一些實施例之鰭式場效電晶體(FinFET)的透視示意圖。
第2-13、14A、14B、14C、15-20、21A和21B圖是根據一些實施例,說明鰭式場效電晶體裝置在各個製造階段的剖面示意圖。
第22圖是根據一些實施例,說明形成半導體裝置的方法的流程圖。
以下內容提供了很多不同的實施例或範例,用於實施本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。
再者,空間上相關的措辭,例如「在......之下」、「在......下方」、「下方的」、「在......上方」、「上方的」和其他類似的用語可用於此,以方便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上相關的措辭意欲包含除圖式描繪之方向外,使用或操作中的裝置之不同方向。裝置可以其他方向定位(旋轉90度或其他定位方 向),且在此使用的空間相關描述可同樣依此解讀。
本發明實施例是在形成鰭式場效電晶體(FinFET)裝置的背景下進行討論,並且特別是在形成襯層於半導體鰭片之上,以防止或減少在熱退火製程期間半導體鰭片氧化的背景下進行討論。然而,本發明所屬技術領域中具有通常知識者將能輕易理解本發明實施例中所揭露的方法可用於其他裝置或應用,例如平面裝置。
第1圖說明鰭式場效電晶體30在透視示意圖中的範例。鰭式場效電晶體30包含具有鰭片64的基底50。鰭片64突出於相鄰的隔離區62上方,隔離區62設置於鰭片64的兩側。閘極介電層66沿著鰭片64的側壁且在鰭片64的頂面之上,並且閘極電極68在閘極介電層66之上。源極/汲極區80位於閘極介電層66和閘極電極68之兩側上的鰭片64中。第1圖更說明在後續的圖式中使用的參考剖面。剖面B-B沿著鰭式場效電晶體30的閘極電極68的縱軸延伸。剖面A-A垂直於剖面B-B且沿著鰭片64的縱軸,並且舉例而言,在源極/汲極區80之間的電流方向上。剖面C-C平行於剖面B-B且橫跨源極/汲極區80。為了清楚起見,後續的圖式參照這些參考剖面。
第2-13、14A、14B、14C、15-20、21A和21B圖是根據一些實施例之鰭式場效電晶體裝置100在各個製造階段的剖面示意圖。鰭式場效電晶體裝置100類似於第1圖中的鰭式場效電晶體30,除了鰭式場效電晶體裝置100具有複數個鰭片。第2-12圖說明鰭式場效電晶體裝置100沿著剖面B-B的剖面示意圖,第13和14A圖說明鰭式場效電晶體裝置100沿著剖 面A-A的剖面示意圖,第14B和14C圖說明鰭式場效電晶體裝置100沿著剖面C-C的剖面示意圖,第15-20和21A圖說明鰭式場效電晶體裝置100沿著剖面A-A的剖面示意圖,並且第21B圖說明鰭式場效電晶體裝置100沿著剖面B-B的剖面示意圖。
第2圖說明基底50的剖面示意圖。基底50可以是半導體基底,例如整塊的(bulk)半導體基底、絕緣體上的半導體(semiconductor-on-insulator,SOI)基底或類似基底,可以將基底50摻雜(例如使用p型或n型摻雜物)或不摻雜。基底50可以是晶圓,例如矽晶圓。一般來說,絕緣體上的半導體(SOI)基底包含在絕緣層上形成的一層半導體材料。舉例而言,絕緣層可以是埋藏氧化(buried oxide,BOX)層、氧化矽層或類似絕緣層,在通常是矽或玻璃基底的基底上提供絕緣層。也可以使用其他基底,例如多層的(multi-layered)或梯度變化的(gradient)基底。在一些實施例中,基底50的半導體材料可以包含矽;鍺;化合物半導體,其包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體,其包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或前述之組合。
如第2圖說明,基底50包含區域200中的第一部份以及區域300中的第二部分。基底50在區域200中的第一部分可以用來形成P型裝置,例如P型金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field effect transistor,MOSFET),並且基底50在區域300中的第二部分可以用來形成N型裝置,例如N型金屬氧化物半導體場效電晶體。因此,區 域200可以稱為P型金屬氧化物半導體區,並且區域300可以稱為N型金屬氧化物半導體區。在一些實施例中,P型裝置(或N型裝置)形成於區域200和區域300兩者中。
接著,參考第3圖,以半導體材料50A取代基底50在區域200中的部分,例如磊晶半導體材料,半導體材料50A適合用於在區域200中形成對應種類的裝置(例如,P型裝置)。舉例而言,半導體材料50A可以是或包括磊晶成長的矽鍺(silicon germanuim)。為了形成半導體材料50A,使用化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、旋轉塗佈(spin coating)或其他適合的沉積方法形成遮罩層53於基底50之上,遮罩層53可以是感光層,例如光阻。接著,使用例如光微影(photolithography)和圖案化技術將遮罩層53圖案化。如第3圖所示,圖案化的遮罩層53覆蓋區域300,但暴露出區域200。接著,透過適當的蝕刻製程移除基底500在區域200中的暴露部分,例如反應性離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似製程或前述之組合,以形成區域200中的凹陷(未顯示)。
接著,執行磊晶以在區域200的凹陷中成長半導體材料50A。在成長期間可將磊晶成長的半導體材料50A原位(in-situ)摻雜,這可以免除之前和之後的植入的需求,儘管原位和植入摻雜可以一起使用。在磊晶之後,可透過適當移除製程來移除遮罩層53,例如蝕刻或電漿灰化。可接著執行例如化學機械研磨(chemical mechanical polish,CMP)的平坦化 製程,使半導體材料50A的頂面與基底50的頂面齊平。第3圖也顯示介於半導體材料50A與基底50之間的界面63,其可以是或者可以不是如第3圖所示的直線。
可選擇性地形成另一圖案化遮罩(未顯示),以覆蓋區域200,且暴露出區域300,並且可移除基底50在區域300中的暴露部分,且以磊晶成長的半導體材料50B將其取代,在第3圖中半導體材料50B以虛線顯示。半導體材料50B可以是或者包括適合用於在區域300中形成對應種類的裝置(例如,N型裝置)的半導體材料。舉例而言,半導體材料50B可以是或者包括磊晶成長的碳化矽。
在一些實施例中,將形成的鰭式場效電晶體裝置100是邏輯裝置,P型金屬氧化物半導體區(例如,區域200)的頂部被半導體材料50A(例如,矽鍺)取代,並且N型金屬氧化物半導體區(例如,區域300)的頂部並未被半導體材料50B取代,因此N型金屬氧化物半導體區(例如,區域300)具有與基底50相同的材料(例如,矽)。在另一實施例中,將形成的鰭式場效電晶體裝置100是高功率裝置,在此情況下,P型金屬氧化物半導體區(例如,區域200)和N型金屬氧化物半導體區(例如,區域300)的頂部被例如碳化矽的相同半導體材料(例如,半導體材料50A和50B都是碳化矽)取代。
在其他實施例中,半導體材料50B(例如,磊晶半導體材料)取代基底50在區域300中的一部分,並且可選擇性地以半導體材料50A(例如,磊晶半導體材料)取代基底50在區域200中的一部分。在另一些實施例中,並未形成前述磊晶半 導體材料(例如,半導體材料50A和50B),因此可省略在第3圖中所說明的製程。在理解第4-21圖中所說明的製程也可應用於前述其他基底配置的情況下,以下討論使用所使用之基底50的實施例配置,其為半導體材料50A形成於區域200中且半導體材料50B並未形成於區域300中。在後文中,基底51用來指基底50以及半導體材料50A/50B(如果有形成的話)。
半導體材料50A和50B(例如,磊晶半導體材料)可具有晶格常數(lattice constant)大於、大致上等於或小於基底50的晶格常數。半導體材料50A和50B的晶格常數取決於所產生的鰭式場效電晶體的導電種類(例如,N型或P型)選擇的材料。再者,在N型金屬氧化物半導體區中磊晶成長與P型金屬氧化物半導體區的材料不同的材料可能是有利的。在各種實施例中,半導體材料(例如,半導體材料50A和50B)可包括矽鍺、碳化矽、純的或大致上純的鍺、第III-V族化合物半導體、第II-VI族化合物半導體或類似材料。舉例而言,用於形成第III-V族化合物半導體的可用材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和類似材料,但不限於此。
接著,參考第4圖,使用例如光微影和蝕刻技術將基底51圖案化。舉例而言,在基底51之上形成遮罩層,例如墊(pad)氧化物層(未顯示)和上方的墊氮化物層(未顯示)。墊氧化物層可以是包含氧化矽的薄膜,例如,其使用熱氧化(thermal oxidation)製程形成。墊氧化物層可作為基底51與上方的墊氮化物層之間的黏著層。在一些實施例中,作為範 例,墊氮化物層由氮化矽、氮氧化矽、碳化矽、氮碳化矽、類似材料或前述之組合形成,並且可以使用低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)或電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)形成。
可以使用光微影技術將遮罩層圖案化。一般來說,光微影技術利用光阻(photoresist)材料(未繪示),其經過沉積、照射(曝光)以及顯影(developed),以移除一部分的光阻材料。剩餘的光阻材料保護下方的材料,例如在這個範例中的遮罩層,避免受到後續製程步驟的影響,例如蝕刻。在這個範例中,使用光阻材料將墊氧化物層及墊氮化物層圖案化,以形成圖案化遮罩58。如第4圖所示,圖案化遮罩58包含圖案化墊氧化物52和圖案化墊氮化物56。
後續使用圖案化遮罩58將基底51的暴露部分圖案化,以形成溝槽61,藉此定義出如第4圖所示之介於相鄰溝槽61之間的鰭片(也稱作半導體鰭片)64。在一些實施例中,使用例如反應性離子蝕刻(RIE)、中子束蝕刻(NBE)、類似製程或前述之組合,透過在基底51中蝕刻出溝槽61來形成鰭片64(例如,鰭片64A和鰭片64B),蝕刻可以是異向性的(anisotropic)。在一些實施例中,溝槽61可以是彼此平行的長條(從上視角度觀之),並且與彼此緊密地間隔。在一些實施例中,溝槽61可以是連續的且圍繞鰭片64。
可透過任何適當的方法將鰭片64圖案化。舉例而言,使用一或多道光微影製程將鰭片64圖案化,光微影製程 包含雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程結合光微影技術與自對準(self-aligned)製程,這使得所產生的圖案具有,例如節距,小於使用單一或直接光微影製程獲得的圖案。舉例而言,在一實施例中,犧牲層形成於基底之上,並且使用光微影製程將犧牲層圖案化。使用自對準製程形成間隔物於犧牲層旁邊。接著移除犧牲層,並且剩餘的間隔物或心軸(mandrel)可接著用來將鰭片圖案化。
如第4圖所示,鰭片64A形成於區域200中,並且鰭片64B形成於區域300中。如前所述,基底50在區域200中的頂部被半導體材料50A取代。因此,鰭片64A在半導體材料50A與基底50之間的界面63上方的部分(例如,上半部)由半導體材料50A形成,鰭片64A在界面63下方的部分(例如,下半部)由基底50的材料形成。在此說明的範例中,鰭片64B完全由基底50的材料形成。在一示範實施例中,鰭片64A在界面63上方的部分由矽鍺(例如,SiGe)形成,鰭片64A在界面63下方的部分由矽(Si)形成,並且鰭片64B由矽(Si)形成。
第4圖的範例說明溝槽61的底部延伸於界面63下方的情況。在其他實施例中,溝槽61的底部延伸於界面63上方或者位於界面63處,在此情況下,鰭片64A完全由半導體材料50A形成,鰭片64B完全由基底50的材料形成。儘管第4圖顯示一個鰭片64A在區域200中以及一個鰭片64B在區域300中,然而可在區域200中及/或區域300中形成一個以上的鰭片。這些變化和其他變化完全包含於本發明實施例的範圍 內。為了簡潔起見,在所有後續的圖式中不繪示界面63。
在一些實施例中,圖案化墊氮化物56的厚度T1在約18.5奈米(nm)至約21.5奈米的範圍內,並且圖案化墊氧化物52的厚度T2在約1.5奈米至約2.5奈米的範圍內。在鰭片64的頂面與基底50靠近鰭片64的上表面50U之間量測鰭片高度H1,其可在約109.5奈米至約117.5奈米的範圍內。在一些實施例中,鰭片64A的鰭片寬度W1(例如,在鰭片64A的頂面量測)在約8.8奈米至約12.4奈米的範圍內,並且鰭片64B的鰭片寬度W2(例如,在鰭片64B的頂面量測)在約8.9奈米至約13.1奈米的範圍內。在此說明的實施例中,兩個相鄰的鰭片64A與64B之間的節距P1在約24.5奈米至約27.5奈米的範圍內。
現在參考第5圖,在基底50之上且在鰭片64之上順應性地形成蓋層83。在一些實施例中,蓋層83是半導體蓋層,例如矽蓋層(例如,一層矽)。因此,蓋層83也可以稱為矽襯層(liner)。可使用任何適當的沉積方法來形成蓋層83,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(atomic layer deposition,ALD)、類似沉積方法或前述之組合。除了矽,其他適當材料也可用於蓋層83,例如氧化矽、氮化矽、前述之組合或前述之多層。在理解可將除了矽之外的其他適當材料用於蓋層83的情況下,以下討論可能將蓋層83稱為矽蓋層或矽襯層。
根據一實施例,蓋層83是矽蓋層,且透過化學氣相沉積(CVD),其使用例如乙矽烷(disilane,例如Si2H6)的含矽前驅物以及例如氫氣(例如,H2)的載體氣體(carrier gas), 以形成蓋層83。在一些實施例中,Si2H6的流速在約160每分鐘標準毫升(standard cubic centimeter per minute,sccm)至約200sccm的範圍內,且H2的流速在約2每分鐘標準公升(standard liter per minute,slm)至約5slm的範圍內。在一些實施例中,沉積製程的壓力在約580托耳(torr)至約690托耳的範圍內。在一些實施例中,形成蓋層83的厚度在約1.3奈米至約1.6奈米的範圍內。
在蓋層83形成之後,對蓋層83執行氮化處理410。在一些實施例中,使用含氮化物的氣體執行氮化處理410,例如氨氣(例如,NH3)。氮化處理410將蓋層83的頂層(例如,外部部分)轉變為氮化物(例如,氮化矽),氮化物可防止或減少鰭片64的氧化。
在一些實施例中,採用NH3的流速在約2.5slm至約4slm的範圍內,執行氮化處理410。氮化處理410的溫度可在約680℃至約750℃的範圍內。氮化處理410的壓力可在約4.6托耳至約5.2托耳的範圍內。可持續執行氮化處理410約30秒至約120秒的時間。
在氮化處理410之後,蓋層83的外部部分轉變為氮化物(例如,氮化矽,未單獨顯示於第5圖),外部部分可包含例如蓋層83之總厚度的約2%至約3%。在一示範實施例中,蓋層83是矽蓋層,並且透過氮化處理410將蓋層83的頂層(例如,厚度的2%~3%的頂部)轉變為氮化矽。氮化矽形成了保護薄膜,其防止或減少鰭片64的氧化。
隨著在先進製程中鰭片64的尺寸(例如,第4圖中 的寬度W1和W2)持續微縮,在後續製程的過程中鰭片64具有較高的風險發生倒塌。蓋層83提供鰭片64結構上的支撐,以防止在後續製程中鰭片64發生倒塌。此外,透過氮化處理410形成的氮化物膜與後文揭露之本發明實施例的其他部件一起防止或減少鰭片64氧化,藉此有助於減少因氧化造成的鰭片損失,並且維持鰭片64的尺寸。
接著參考第6圖,在蓋層83之上順應性地形成介電層86。介電層86可包括適當的介電材料,其減少或防止鰭片64氧化。舉例而言,介電層86可以是透過化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、類似製程或前述之組合的氮化矽(例如,SiNx)、氮氧化矽(例如,SiON)或氧化矽(SiO2)層。
在一示範實施例中,介電層86是氮化矽層,並且透過使用二氯甲烷(dichlorosilane,例如SiH2Cl2)和氨(NH3)作為前驅物的原子層沉積(ALD)形成介電層86。在一些實施例中,SiH2Cl2的流速在約1slm至約4slm,並且NH3的流速在約5slm至約8slm。用於介電層86的沉積製程的溫度可在約400℃至約600℃,並且沉積製程的壓力在約2托耳至約4托耳。在一些實施例中,在完成沉積製程之後,形成介電層86的厚度T3在約2奈米至約4奈米的範圍內。
接著,如第7圖所示,形成絕緣材料62(又可稱做隔離區62)以填充溝槽61(參見第6圖)。在一些實施例中,在沉積絕緣材料62之後,執行退火製程420將沉積的絕緣材料62硬化(cure)。絕緣材料62可以是例如氧化矽的氧化物、氮化物、 類似絕緣材料或前述之組合,並且可透過高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)、類似製程或前述之組合形成絕緣材料62。可使用其他絕緣材料及/或其他形成製程。
在一示範實施例中,絕緣材料62包括氧化矽(例如,SiO2),且由可流動化學氣相沉積(FCVD)形成。如第7圖所示,沉積的絕緣材料62填充溝槽61(參見第6圖),並且延伸於鰭片64的頂面上方。舉例而言,絕緣材料62在鰭片64的頂面之上的高度H2在約250奈米至約350奈米的範圍內。
一旦沉積絕緣材料62,執行退火製程420將沉積的絕緣材料62硬化。在一些實施例中,退火製程420包括第一退火製程和之後的第二退火製程。在一些實施例中,第一退火製程是濕式退火,例如濕式蒸氣退火(wet steam anneal),並且第二退火製程是乾式退火。根據一實施例,第一退火是濕式蒸氣退火(例如,在包括水蒸氣的環境中執行熱退火製程),其執行於在約500℃至約700℃的範圍內的溫度,持續在約兩小時至約三小時的時間。根據一實施例,第二退火製程是乾式退火,其執行於包括氮氣(例如,N2氣體)的環境,且以在約600℃至約800℃的範圍內的溫度持續在約1小時至約2小時的時間。
由於絕緣材料62的厚度,退火製程420可將絕緣材料62的頂部(例如,在鰭片64的頂面上方或接近鰭片64的頂面的部分)硬化,且絕緣材料62的底部(例如,虛線69下方的部分)可能未充分地硬化。後續的退火製程430(參見第9圖)將進 一步硬化絕緣材料62。
接著,參考第8圖,例如化學機械研磨(CMP)的平坦化製程可移除多餘的絕緣材料62,並且形成絕緣材料62之平坦的頂面。如第8圖所示,平坦化製程也暴露出介電層86的頂面。
參考第9圖,在平坦化製程之後,執行退火製程430以進一步將絕緣材料62的剩餘部分硬化。在一些實施例中,在退火製程430之後,介電層86(例如,氮化矽)的頂層87(例如,外部部分)被氧化,並且轉變為介電層86的氧化物(例如,氮氧化矽)。如第9圖所示,介電層86的剩餘部分標示為介電層85。更多細節將於後續討論。
在一些實施例中,退火製程430包括第一退火製程和之後的第二退火製程。在一些實施例中,第一退火製程是濕式退火,例如濕式蒸氣退火,並且第二退火製程是乾式退火。根據一實施例,第一退火製程是濕式蒸氣退火,其執行於約500℃至約700℃的範圍內的溫度,持續約兩小時至約三小時的時間。根據一實施例,第二退火製程是乾式退火,其執行於包括氮氣(例如,N2氣體)的環境,且以約600℃至約800℃的溫度持續約一小時至約兩小時的時間。在一些實施例中,退火製程430可以在與第7圖中的退火製程420的相同條件下執行。
在一些實施例中,例如濕蒸氣中的氧將介電層86的頂層87(例如,外部部分)氧化,氧擴散至絕緣材料62中,並且將外部部分轉變為介電層86之材料的氧化物。在一示範實 施例中,介電層86(參見第8圖)包括氮化矽,氧化的頂層87(例如,介電層)包括氮氧化矽,並且介電層85包括氮化矽。在以下討論中,氧化的頂層87和介電層85可共同稱為介電襯層堆疊88。
在一些實施例中,氧化的頂層87的厚度T5為約介電層86的原本厚度T3(參見第6圖)的三分之一。換言之,氧化的頂層87的厚度T5與介電層85的厚度T4的比例大約1:2。
在一些實施例中,造成介電層86(局部)氧化的氧來自於退火製程期間所使用的濕蒸氣,因此,介電層86較靠近氧來源的頂部被氧化的程度大於其較遠離氧來源的底部。舉例而言,參考第9圖,在一些實施例中,在退火製程430之後,元素矽(例如,Si)、氮(例如,N)和氧(例如,O)之間的比(例如,原子百分比(atormic percentage ratio)),亦即Si:N:O之間的比例,其在介電襯層堆疊88靠近鰭片64之頂面的第一部份中,為約5:2.5:2.5。在一些實施例中,Si:N:O的比例,其在介電襯層堆疊88靠近鰭片64的中點(例如,鰭片64的頂面與基底50的上表面50U之間的中點)的第二部份中,為約5:3:2。在一些實施例中,Si:N:O的比例,其在介電襯層堆疊88靠近基底50之上表面50U的第三部份中,為約5:4:1。
儘管在退火製程430的過程中或之後,形成如第9圖所示之氧化的頂層87,然而在退火製程420的過程中或之後也可以形成氧化的頂層87。舉例而言,當絕緣材料62的厚度H2(參見第7圖)較小(例如,350奈米或更小),來自於退火製程 420的濕式蒸氣退火的氧可將介電層86氧化,並且形成氧化的頂層87。這些變化和其他變化完全包含於本發明實施例的範圍內。
由於透過例如介電襯層堆疊88和經由氮化處理410形成於蓋層83之上的薄的氮化物膜所提供的保護,因此,在退火製程(退火製程420和430)的過程中,保護鰭片64免於受到氧的影響,並且鰭片64幾乎沒有氧化發生或不發生氧化。因此,本發明實施例的方法避免了因為鰭片64的氧化所造成鰭片的臨界尺寸的損失。
接著,如第10圖所示,使用例如乾式蝕刻移除圖案化墊氮化物56(參見第9圖),儘管也可使用其他適當的移除製程。舉例而言,可執行使用磷酸(phosphoric acid,H3PO4)的乾式蝕刻移除圖案化墊氮化物56,因此在絕緣材料62中形成開口67,以暴露出圖案化墊氧化物52的頂面。如第10圖所示,移除製程也移除介電層85設置於鰭片64的頂面之上的部分、氧化的頂層87設置於鰭片64的頂面之上的部分和蓋層83設置於鰭片64的頂面之上的部分。
接著,在第11圖中,凹蝕絕緣材料62,使得鰭片64的上部突出於凹蝕的絕緣材料62的上表面62U上方。如第11圖所示,凹蝕絕緣材料62也移除在凹蝕的絕緣材料62的上表面62U上方的圖案化墊氧化物52、介電層85在凹蝕的絕緣材料62的上表面62U上方的部分、氧化的頂層87在凹蝕的絕緣材料62的上表面62U上方的部分,以及蓋層83在凹蝕的絕緣材料62的上表面62U上方的部分。在一些實施例中,凹蝕的絕緣材料 62形成了隔離區62,例如淺溝槽隔離(shallow trench isolation,STI)區。可使用乾式蝕刻凹蝕絕緣材料62,並且乾式蝕刻可使用包括氨(NH3)和氟化氫(HF)的蝕刻氣體。可使用其他適當的蝕刻製程凹蝕絕緣材料62。
絕緣材料62的上表面62U可具有平坦的表面(如圖所示)、凸形表面、凹形表面(例如碟形的)或是前述之組合。可透過的適當蝕刻製程形成絕緣材料62的上表面62U為平坦的、凸形的及/或凹形的。可使用適當的蝕刻製程凹蝕絕緣材料62,例如對於絕緣材料62的材料具有選擇性的製程。舉例而言,可以使用利用CERTAS®蝕刻的化學氧化物移除(chemical oxide removal)、應用材料公司(Applied Materials)的SICONI設備或稀釋的氫氟酸(dilute hydrofluoric acid,dHF)。
如第11圖所示,其在鰭片64的頂面與靠近鰭片64的上表面62U之間量測鰭片高度H3,其可在約52.5奈米至約55.5奈米的範圍內。鰭片64A(例如,包括SiGe的鰭片)的鰭片寬度W3為在約7.5奈米至約11奈米的範圍內,並且鰭片64B(例如,包括矽的鰭片)的鰭片寬度W4為在約7奈米至約13.7奈米的範圍內。在凹蝕絕緣材料62之後量測鰭片64A與鰭片64B之間的鰭片節距P2,其在約24.5奈米至約27.5奈米。在一些實施例中,鰭片節距P2與節距P1(參見第4圖)相同。
第12圖說明在鰭片64(鰭片64A和64B)之上形成虛設閘極結構75(例如,虛設閘極結構75A和75B)。在一些實施例中,虛設閘極結構75包含閘極介電層66和閘極電極68。可 在虛設閘極結構75之上形成遮罩70。為了形成虛設閘極結構75,在鰭片64和隔離區62之上形成介電層。介電層可以是,例如氧化矽、氮化矽、前述之多層或類似介電材料,並且可根據適當技術沉積或熱成長介電層。在一些實施例中,介電層可以是高介電常數(high-k)的介電材料,並且在這些實施例中,介電層可具有大於約7.0的介電常數值(k value),並且可以包含鉿(Hf)、鋁(Al)、鋯(Zr)、鑭(La)、鎂(Mg)、鋇(Ba)、鈦(Ti)、鉛(Pb)的金屬氧化物或矽酸鹽(silicate)、前述之多層和前述之組合。介電層的形成方法可以包含分子束沉積(molecular-beam deposition,MBD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)和類似的方法。
在介電層之上形成閘極層,並且在閘極層之上形成遮罩層。可在介電層之上沉積閘極層,並且接著透過化學機械研磨(CMP)將閘極層平坦化。可在閘極層之上沉積遮罩層。遮罩層可由例如多晶矽(polysilicon)形成,儘管也可以使用其他材料。在一些實施例中,遮罩層可包含含有金屬的材料,例如TiN、TaN、TaC、Co、Ru、Al、前述之組合或前述之多層。遮罩層可以由例如氮化矽或類似的材料製成。
在形成這些層(例如,介電層、閘極層和遮罩層)之後,可以使用適當的光微影和蝕刻技術將遮罩層圖案化,以形成遮罩70。然後可以藉由適當的蝕刻技術將遮罩70的圖案轉移到閘極層和介電層,以分別形成閘極電極68和閘極介電層66。閘極電極68和閘極介電層66覆蓋鰭片64之各自的通道區。閘極電極68也可以具有大致上垂直於各自的鰭片64的 縱長方向。
第13、14A、14B、14C、15-20、21A和21B圖說明鰭式場效電晶體裝置100之進一步製程的各種剖面示意圖。在一些實施例中,對P型金屬氧化物半導體區的區域200和N型金屬氧化物半導體區的區域300兩者執行如第13、14A、14B、14C、15-20、21A和21B圖中說明的製程,並且調整一些材料(例如,用於源極/汲極區的摻雜物或金屬閘極的功函數層)以適用於形成於各自區域中之裝置的種類(例如,P型裝置或N型裝置)。為了簡潔起見,第13、14A、15-20和21A圖中每一個顯示鰭片64A或鰭片64B之沿著剖面A-A的一個剖面示意圖(而非鰭片64A之沿著剖面A-A和鰭片64B之沿著剖面A-A的兩個剖面示意圖)。
如第13圖所示,在鰭片64中形成輕摻雜汲極(lightly doped dran,LDD)區65。可透過電漿摻雜製程形成輕摻雜汲極區65。電漿摻雜製程可將N型雜質(用於N型裝置)或P型雜質(用於P型裝置)植入鰭片64中,以形成輕摻雜汲極區65。舉例而言,可形成圖案化遮罩層以遮蔽區域200,並且將N型雜質植入區域300的輕摻雜汲極區65中。相似地,可形成另一圖案化遮罩以遮蔽區域300,並且將P型雜質植入區域200的輕摻雜汲極區65中。在此說明的實施例中,第13圖也顯示半導體材料50A與基底50(參見第3和4圖)之間的界面63,界面63存在於鰭片64A中,而不存在於鰭片64B中。為了簡潔起見,界面63未必顯式於所有圖式中。
在一些實施例中,輕摻雜汲極區65相鄰於鰭式場 效電晶體裝置100的通道區。部分的輕摻雜汲極區65可延伸於閘極電極68下方,並且延伸至鰭式場效電晶體裝置100的通道區中。輕摻雜汲極區65不限於第13圖繪示的範例。輕摻雜汲極區65也可能是其他配置、形狀和形成方法,並且這些完全被包含在本發明實施例的範圍內。舉例來說,可以在形成第一閘極間隔物72之後形成輕摻雜汲極區65。
繼續參考第13圖,在形成輕摻雜汲極區65之後,在閘極結構上形成閘極間隔物74。閘極間隔物74可包含第一閘極間隔物72和第二閘極間隔物73。在第13圖的範例中,在閘極電極68的兩側上和閘極介電層66的兩側上形成第一閘極間隔物72。第一閘極間隔物72也可延伸於鰭片64(以及形成於鰭片64內的輕摻雜汲極區65)的上表面和隔離區62的上表面之上。如第13圖所示,第二閘極間隔物73形成於第一閘極間隔物72上。第一閘極間隔物72可由氮化物形成,例如氮化矽、氮氧化矽、碳化矽、氮碳化矽、類似材料或前述之組合,並且可使用例如熱氧化、化學氣相沉積(CVD)或其他適當的沉積製程形成第一閘極間隔物72。第二閘極間隔物73可由使用適當沉積方法的氮化矽、氮碳化矽、前述之組合或類似材料形成。
在一示範實施例中,形成閘極介電層74係透過順應性地沉積第一閘極間隔層於鰭式場效電晶體裝置100之上,然後順應性地沉積第二閘極間隔層於沉積的第一閘極間隔層之上。接著,執行異向性(anisotropic)蝕刻製程,例如乾式蝕刻製程,以移除第二閘極間隔層設置於鰭式場效電晶體裝置 100之上表面(例如,虛設閘極結構75的上表面)上的第一部份,並且保留第二閘極間隔層沿著虛設閘極結構75的側壁設置的第二部分。在異向性蝕刻製程之後剩餘的第二閘極間隔層的第二部分形成第二閘極間隔物73。異向性蝕刻製程也移除第一閘極間隔層設置於第二閘極間隔物73之側壁之外的部分,並且第一閘極間隔層的剩餘部分形成第一閘極間隔物72。第一閘極間隔物72和第二閘極間隔物73的形狀和形成方法並不限於如第13圖所示的範例,並且第一閘極間隔物72和第二閘極間隔物73也可能是其他形狀和形成方法。
接著,如第14A圖所示,形成源極/汲極區80。形成源極/汲極區80係透過蝕刻鰭片64內的輕摻雜汲極區65,以形成凹陷,且使用適當方法磊晶成長材料,例如金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似製程或前述之組合。
如第14A圖所示,磊晶的源極/汲極區80可具有從鰭片64之各自表面上升的表面(例如,上升高於鰭片64的未凹蝕部分),並且可以具有晶面(facet)。相鄰的鰭片64之源極/汲極區80可以合併,以形成連續的磊晶的源極/汲極區80(參見第14B圖)。在一些實施例中,相鄰的鰭片64之磊晶的源極/汲極區80不合併在一起,並且保持分開的源極/汲極區80(參見第14C圖)。在所產生的鰭式場效電晶體是n型鰭式場效電晶體的一些示範實施例中,源極/汲極區80包括碳化矽(SiC)、磷化矽 (SiP)、摻雜磷的碳化矽(SiCP)或類似材料。在所產生的鰭式場效電晶體是p型鰭式場效電晶體的另一些示範實施例中,源極/汲極區80包括矽鍺(SiGe),並且p型雜質例如硼或銦。在一些實施例中,相較於鰭式場效電晶體裝置之通道區的矽鍺,可形成源極汲極區80中的矽鍺具有較高的鍺的原子百分比,使得在鰭式場效電晶體裝置的通道區中引發壓縮應變(compressive strain)。
第14B圖顯示在一實施例中之第14A圖所示之鰭式場效電晶體裝置100沿著剖面C-C的剖面示意圖。在第14B圖的範例中,磊晶的源極/汲極區80A和80B合併形成連續的磊晶的源極/汲極區80。第14C圖顯示在另一實施例中之第14A圖所示之鰭式場效電晶體裝置100沿著剖面C-C的剖面示意圖。在第14C圖的範例中,磊晶的源極/汲極區80A和80B並未合併,並且保持分開的源極/汲極區80。
可以將摻雜物植入磊晶的源極/汲極區80,以形成源極/汲極區80,然後退火。植入製程可以包含形成例如光阻的遮罩並將其圖案化,以覆蓋鰭式場效電晶體之欲保護免於受到植入製程影響的區域。源極/汲極區80的雜質(例如摻雜物)濃度可在約1E19cm-3至約1E21cm-3的範圍內。在一些實施例中,源極/汲極區80可以在成長期間進行原位摻雜。
接著,如第15-17圖所示,在如第14A圖所示的結構之上第一層間介電層(interlayer dielectric,ILD)90,並且執行後閘極製程(gate-last porcess,有時稱為閘極置換製程)。在後閘極製程中,閘極電極68和閘極介電層66(參見第14A圖) 視為虛設結構,並且將其移除且以主動閘極和主動閘極介電層取代他們。
參考第15圖,在一些實施例中,第一層間介電層90由介電材料形成,例如磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或類似材料,並且可透過任何適當的方法沉積第一層間介電層90,例如化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)或可流動化學氣相沉積(FCVD)。可以執行平坦化製程,例如化學機械研磨(CMP),以移除遮罩70,並將第一層間介電層90的頂面平坦化,使得第一層間介電層90的頂面與閘極電極68的頂面齊平。因此,在一些實施例中,在化學機械研磨(CMP)之後,暴露出閘極電極68的頂面。
根據一些實施例,在蝕刻步驟中移除閘極電極68和在閘極電極68正下方的閘極介電層66,使得凹陷89形成於各自的閘極間隔物74之間。每一個凹陷89將各自鰭片64的通道區暴露出來。每一個通道區設置於相鄰的一對源極/汲極區80之間。在移除虛設閘極期間,當蝕刻虛設的閘極電極68時,可以使用虛設的閘極介電層66作為蝕刻停止層。在移除虛設的閘極電極68之後,可以接著移除虛設的閘極介電層66。
接著,在第16圖中,形成用於置換閘極97(參見第17圖)的閘極介電層96、阻障層94、晶種層92和閘極電極98。 在凹陷89中順應性地沉積閘極介電層96,例如,在鰭片64的頂面和側壁上且在第一閘極間隔物72的側壁上,並且在第一層間介電層90的頂面上。根據一些實施例,閘極介電層96包括氧化矽、氮化矽或前述之多層。在其他的實施例中,閘極介電層96包含高介電常數的介電材料,並且在這些實施例中,閘極介電層96可以具有大於約7.0的介電常數值(k value),並且可包含鉿(Hf)、鋁(Al)、鋯(Zr)、鑭(La)、鎂(Mg)、鋇(Ba)、鈦(Ti)、鉛(Pb)的金屬氧化物或矽酸鹽(silicate)以及前述之組合。閘極介電層96的形成方法可以包含分子束沉積(MBD)、原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)和類似方法。
接著,在閘極介電層96之上順應性地形成阻障層94。阻障層94可包括例如氮化鈦的導電材料,儘管也可以替換使用其他材料,例如氮化鉭、鈦、鉭或類似的材料。可使用化學氣相沉積(CVD)製程形成阻障層94,例如電漿增強化學氣相沉積(PECVD)。然而,也可以替換使用其他替代製程,例如濺鍍(sputtering)或金屬有機化學氣相沉積(MOCVD)、原子層沉積(ALD)。
雖然在第16圖中並未繪示,可在置換閘極97中形成功函數(work function)層,例如在阻障層94之上。舉例而言,可在區域200中形成P型功函數層,並且在區域300中形成N型功函數層。在閘極結構(例如,置換閘極97)中可包含的示範的P型功函數金屬,其包含TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他適當的P型功 函數材料或前述之組合。在閘極結構中可包含的示範的N型功函數金屬,其包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他適當的N型功函數材料或前述之組合。功函數值與功函數層的材料組成有關,因此,選擇功函數層的材料以調整其功函數值,使得在各自的區域中形成的裝置達到目標臨界電壓(threshold voltage)Vt。可透過化學氣相沉積(CVD)、物理氣相沉積(PVD)及/或其他適當的製程沉積功函數層。
接著,在阻障層94(或如果有形成的功函數層)之上形成晶種層92。晶種層92可包含銅(Cu)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、類似材料或前述之組合,並且可透過原子層沉積(ALD)、濺鍍、物理氣相沉積(PVD)或類似製程沉積晶種層92。在一些實施例中,晶種層92為金屬層,金屬層可以是單層,或是由包括不同材料形成之複數個子層的複合層。在一些實施例中,晶種層92包括鈦層以及在鈦層之上的銅層。
接著,在晶種層92之上沉積閘極電極98,且閘極電極98填入凹陷89的剩餘部分。閘極電極98可由含有金屬的材料製成,例如TiN、TaN、TaC、Co、Ru、Al、前述之組合或前述之多層,並且可透過例如電鍍、無電電鍍(electroless plating)或其他適當的方法形成閘極電極98。
接著,如第17圖所示,在形成閘極電極98之後,可執行例如化學機械研磨(CMP)的平坦化製程,以移除閘極介電層96、阻障層94、功函數層(如果有形成的話)、晶種層92和 閘極電極98的材料的過量部分,此過量部分係在第一層間介電層90之頂面之上。因此,所產生的閘極電極98、晶種層92、功函數層(如果有形成的話)、阻障層94和閘極介電層96之材料的剩餘部分形成所產生的鰭式場效電晶體裝置100的置換閘極97。
接著,在第18圖中,在第一層間介電層90之上沉積第二層間介電層95。在一實施例中,第二層間介電層95是透過可流動化學氣相沉積方法形成的可流動膜。在一些實施例中,第二層間介電層95由介電材料形成,例如磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻雜硼的磷矽酸鹽玻璃(BPSG)、未摻雜的矽酸鹽玻璃(USG)或類似材料,並且可透過任何適當的方法沉積第二層間介電層95,例如化學氣相沉積(CVD)和電漿增強化學氣相沉積(PECVD)。接著,穿過第一層間介電層90及/或第二層間介電層95形成接觸件102(參見第21圖)的接觸件開口91和93。舉例而言,穿過第二層間介電層95形成接觸件開口91,且暴露出置換閘極97,並且穿過第一層間介電層90及第二層間介電層95形成接觸件開口93,且暴露出源極/汲極區80。
接著,在第19圖中,在源極/汲極區80之上形成矽化物區82,並且在矽化物區82和第二層間介電層95之上形成阻障層104。在一些實施例中,形成矽化物區82係透過在源極/汲極區80之上沉積能夠與半導體材料(例如矽、鍺)反應以形成矽化物或鍺化物(germanide)區的金屬。此金屬可以是鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬(noble metals)、其他耐火 (refractory)金屬、稀土(rare earth)金屬或前述之合金。然後,執行熱退火製程,使得沉積的金屬與源極/汲極區80反應,以形成矽化物區82。在熱退火製程之後,將未反應的金屬移除。
在矽化物區82和第二層間介電層95之上順應性地形成阻障層104,並且阻障層104內襯於接觸件開口91和93的側壁和底部。阻障層104可包括導電材料,例如鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)或類似材料,並且可使用化學氣相沉積(CVD)製程形成阻障層104,例如電漿增強化學氣相沉積(PECVE)。然而,也可使用其他替代製程,例如濺鍍、金屬有機化學氣相沉積(MOCVD)、物理氣相沉積(PVD)、原子層沉積(ALD)。
接著,在第20圖中,在阻障層104之上形成晶種層109,並且在晶種層109之上形成導電材料110。可透過物理氣相沉積(PVD)、原子層沉積(ALD)或化學氣相沉積(CVD)沉積晶種層109,並且晶種層109可由鎢、銅或銅合金形成,儘管可以替換使用其他適當的方法和材料。
一旦形成晶種層109,可在晶種層109上形成導電材料110,以填充接觸件開口91和93。導電材料110可包含鎢,儘管可替換使用其他適當材料,例如鋁、銅、氮化鎢、釕(rhuthenium)、銀、金、銠(rhodium)、鉬(molybdenum)、鎳、鈷、鎘、鋅、前述之合金、前述之組合和類似材料。可使用任何適當的沉積方法來形成導電材料110,例如物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、鍍製 (例如,電鍍)和回銲(reflow)。
參考第21圖,一旦填充接觸件開口91和93,可透過例如化學機械研磨(CMP)的平坦化製程,將接觸件開口91和93之外的過量的阻障層104、晶種層109和導電材料110移除,儘管可使用任何適當的移除製程。因此,接觸件(也可稱作接觸插塞)102形成於接觸件91和93中。儘管在第21圖中顯示源極/汲極區80之上和置換閘極97之上的接觸件102係在相同剖面中,然而在鰭式場效電晶體裝置100中接觸件102可以在不同剖面中。
第21B圖說明在一些實施例中之第21A圖所示之鰭式場效電晶體裝置100沿著剖面B-B的剖面示意圖。在第21B圖中,第一置換閘極99A(例如,包括閘極介電層96、阻障層94、晶種層92和閘極電極98A)形成於鰭片64A之上,並且第二置換閘極99B(例如,包括閘極介電層96、阻障層94、晶種層92和閘極電極98A)形成於鰭片64B之上。第一置換閘極99A與第二置換閘極99B隔開,例如被第一層間介電層90隔開。接觸件102電性耦接至閘極電極98A,並且接觸件102電性耦接至閘極電極98B。
儘管並未顯示,在第21B圖的剖面示意圖中,閘極間隔物74(參見第13圖)可形成於置換閘極(例如,第一置換閘極99A和第二置換閘極99B)與第一層間介電層90之間(例如,沿著第一置換閘極99A和第二置換閘極99B的側壁)。舉例而言,在第21B圖的剖面示意圖中,閘極間隔物74可沿著第一置換閘極99A的兩個側壁和沿著第二置換閘極99B的兩個側壁 形成。舉例而言,在這樣的實施例中,閘極間隔物74形成於第一置換閘極99A與第二置換閘極99B之間。在一些實施例中,閘極間隔物74並未形成於第一置換閘極99A與第二置換閘極99B之間,而是形成於第一置換閘極99A和第二置換閘極99B的外部側壁上(例如,在第21B圖中,第一置換閘極99A的最左側壁和第二置換閘極99B的最右側壁)。在另一些實施例中,閘極間隔物74並未形成於第21B圖的剖面示意圖。這些變化和其他變化完全包含於本發明實施例的範圍內。
可以變化和修改本發明實施例,並且這些變化和修改完全包含於本發明實施例的範圍內。舉例而言,可在每一個區域200和300中形成多於一個鰭片,並且可在鰭片64之上形成多於一個閘極。形成鰭片64可包含其他製程步驟,並且鰭片64A和64B的材料可以相同或者不同。此外,在前述閘極置換製程中,在虛設閘極結構75A和75B被置換閘極97取代之前,虛設閘極結構75A和75B彼此隔開。在其他實施例中,可形成虛設閘極結構從鰭片64A連續地延伸至鰭片64B,接著以置換閘極取代虛設閘極結構,置換閘極從鰭片64A連續地延伸至鰭片64B,之後將置換閘極截斷(例如,透過在鰭片64A與64B之間蝕刻出開口,並且以介電材料填入開口),以形成兩個分開的置換閘極(分別在鰭片64A和64B上)。這些變化和其他變化完全包含於本發明實施例的範圍內。
第22圖是根據一些實施例,說明半導體裝置之形成方法的流程圖。應理解的是,第22圖繪示的實施例的方法只是許多可能的實施例之方法的一個範例。本發明所屬技術 領域中具有通常知識者將理解許多改變、替換和修改。舉例來說,可以添加、移除、置換、重新排列或重複如第22圖所示的各種步驟。
參考第22圖,在步驟1010,在基底的第一區中的第一鰭片之上形成半導體蓋層。在步驟1020,在半導體蓋層之上形成介電層。在步驟1030,在第一鰭片的頂面之上且在第一鰭片的兩側上形成絕緣材料。在步驟1040,將絕緣材料硬化。在1050,在硬化之後,凹蝕絕緣材料,以暴露出第一鰭片的頂部。在步驟1060,在第一鰭片的頂部之上形成閘極結構。
本發明實施例可以實現許多優點。蓋層83和介電襯層堆疊88提供鰭片64結構上的支撐,以減少或防止在後續製程中鰭片的倒塌。此外,在後續製程中,介電襯層堆疊88將鰭片64與氧隔離,因而減少或避免鰭片氧化。所設計的鰭片尺寸(例如,鰭片寬度、鰭片節距)得以保留,這有利地改善形成的裝置的電性能。蓋層83的氮化處理提供額外的氮化物薄膜,以減少或防止氧滲透至鰭片中,進而減少鰭片氧化的可能性。
在一實施例中,半導體裝置的形成方法包含在基底的第一區中的第一鰭片之上形成半導體蓋層,在半導體蓋層之上形成介電層,在介電層之上形成絕緣材料,相較於第一鰭片的上表面,絕緣材料的上表面更遠離基底延伸,凹蝕絕緣材料,以暴露出第一鰭片的頂部,以及在第一鰭片的頂部之上形成閘極結構。在一實施例中,此方法更包含在形成 半導體蓋層之前,以磊晶半導體材料取代基底在第一區中的第一部份;以及將磊晶半導體材料圖案化,以形成第一鰭片。在一實施例中,形成半導體蓋層包含在第一鰭片之上形成矽蓋層。在一實施例中,此方法更包含在形成介電層於半導體蓋層之上之前,用含氮化物氣體處理半導體蓋層。在一實施例中,形成介電層包含形成包括半導體蓋層之材料的氮化物的介電層。在一實施例中,此方法更包含在凹蝕絕緣材料之前,將絕緣材料硬化。在一實施例中,半導體蓋層為矽蓋層,且介電層為氮化矽層,其中將絕緣材料硬化的步驟使介電層的頂層轉變為氮氧化矽。在一實施例中,硬化包含執行第一退火製程,在第一退火製程之後,執行平坦化製程,以及執行第二退火製程。在一實施例中,平坦化製程移除絕緣材料的頂部,且暴露出第一鰭片的上表面之上的遮罩層。在一實施例中,執行第一退火製程包含執行第一濕式蒸氣退火,以及在第一濕式蒸氣退火之後,執行第一乾式退火。在一實施例中,第一乾式退火執行於包括氮氣的環境中。在一實施例中,執行第二退火製程包含執行第二濕式蒸氣退火,以及在第二濕式蒸氣退火之後,執行第二乾式退火。在一實施例中,第一區是P型金屬氧化物半導體區,其中此方法更包含在基底的N型金屬氧化物半導體區中形成第二鰭片,N型金屬氧化物半導體區相鄰於P型金屬氧化物半導體區,其中半導體蓋層和介電層形成於第一鰭片之上和第二鰭片之上。
在一實施例中,鰭式場效電晶體(FinFET)裝置的形成方法包含在基底的P型金屬氧化物半導體區中形成第一鰭 片,在基底的N型金屬氧化物半導體區中形成第二鰭片,N型金屬氧化物半導體區相鄰於P型金屬氧化物半導體區,以及在第一鰭片和第二鰭片之上形成矽蓋層。此方法還包含對矽蓋層執行氮化處理,在矽蓋層之上形成第一介電材料,在第一鰭片與第二鰭片之間且在第一鰭片與第二鰭片之上沉積第二介電材料,其中第一介電材料介於矽蓋層與第二介電材料之間,以及執行熱製程以將第二介電材料硬化。此方法更包含在熱製程之後,凹蝕第二介電材料,以暴露出第一鰭片的頂部和第二鰭片的頂部,以及在第一鰭片之上形成第一閘極結構,且在第二鰭片之上形成第二閘極結構。在一實施例中,形成矽蓋層包含順應性地形成矽蓋層於第一鰭片和第二鰭片之上。在一實施例中,執行氮化處理包含使用包含氨的氣體處理矽蓋層。在一實施例中,形成第一介電材料包含順應性地形成一層氮化矽於矽蓋層之上,其中執行熱製程使第一介電材料的頂層轉變為氮氧化矽。
在一實施例中,鰭式場效電晶體(FinFET)裝置包含突出於隔離區的上表面上方的鰭片,隔離區位在鰭片的兩側;介於鰭片與隔離區之間的矽蓋層;介於矽蓋層與隔離區之間的第一介電材料;以及不同於第一介電材料且介於第一介電材料與隔離區之間的第二介電材料,第二介電材料包含第一介電材料的氧化物。在一實施例中,鰭片包含矽鍺,第一介電材料包含氮化矽,且第二介電材料包括氮氧化矽。在一實施例中,此鰭式場效電晶體(FinFET)裝置更包含氧化矽膜介於矽蓋層與第一介電材料之間。
在一實施例中,鰭式場效電晶體(FinFET)裝置包含第一鰭片;相鄰於第一鰭片的第二鰭片,第二鰭片具有與第一鰭片不同的材料;介於第一鰭片與第二鰭片之間的隔離區,其中第一鰭片的上部和第二鰭片的上部突出於隔離區上方;以及介於第一鰭片的下部與隔離區之間並且介於第二鰭片的下部與隔離區之間的半導體蓋層。此鰭式場效電晶體(FinFET)裝置更包含第一介電層介於半導體蓋層與隔離區之間;以及不同於第一介電層且介於第一介電層與隔離區之間的第二介電層。在一實施例中,第一鰭片包含矽鍺,且第二鰭片包含矽。在一實施例中,第一鰭片具有P型源極/汲極區,且第二鰭片具有N型源極/汲極區。在一實施例中,第一介電層包含氮化矽,並且第二介電層包含氮氧化矽。在一實施例中,此鰭式場效電晶體(FinFET)裝置更包含氧化物膜介於半導體蓋層與第一介電層之間,其中氧化物膜包含半導體蓋層之材料的氧化物。
在一實施例中,半導體裝置的形成方法包含在鰭片之上形成半導體襯層,鰭片從基底突出,在半導體襯層之上順應性地形成氮化矽層,以及在鰭片之上及鰭片周圍沉積隔離材料。此方法還包含使用熱製程將隔離材料硬化,其中將隔離材料硬化使氮化矽層遠離基底的上層轉變為氮氧化矽,將部分的隔離材料移除,以形成淺溝槽隔離(STI)區,其中鰭片突出於淺溝槽隔離(STI)區上方,以及在鰭片之上形成閘極。在一實施例中,此方法更包含用氮氣處理半導體襯層,以形成介電膜於半導體襯層之上,介電膜包含半導體襯 層的氮化物。在一實施例中,硬化包含執行第一熱退火製程以將隔離材料的至少頂部硬化,在第一熱退火製程之後,凹蝕隔離材料,以及凹蝕將隔離材料之後,執行第二熱退火製程。在一實施例中,執行第一熱退火製程包含執行濕式退火製程,以及在濕式退火製程之後,執行乾式退火製程。
在一實施例中,半導體裝置的形成方法包含在基底的P型金屬氧化物半導體區中形成具有矽鍺的第一鰭片,在基底的N型金屬氧化物半導體區中形成具有矽的第二鰭片,在第一鰭片和第二鰭片之上形成矽蓋層,以及在矽蓋層之上形成包括氮化矽的介電層。此方法還包含在第一鰭片與第二鰭片之間形成隔離材料,將隔離材料硬化,其中將隔離材料硬化使介電層的上層轉變為氮氧化矽,凹蝕隔離材料,以暴露出第一鰭片的頂部和第二鰭片的頂部,以及在第一鰭片和第二鰭片之上分別形成第一閘極結構和第二閘極結構。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。

Claims (20)

  1. 一種半導體裝置的形成方法,包括:在一基底的一第一區中的一第一鰭片之上形成一半導體蓋層;在該半導體蓋層之上形成一介電層;在該介電層之上形成一絕緣材料,相較於該第一鰭片的上表面,該絕緣材料的上表面更延伸遠離該基底;凹蝕該絕緣材料,以暴露出該第一鰭片的一頂部;以及在該第一鰭片的該頂部之上形成一閘極結構。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括在形成該半導體蓋層之前:以一磊晶半導體材料取代該基底在該第一區中的一第一部份;以及將該磊晶半導體材料圖案化,以形成該第一鰭片。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中形成該半導體蓋層包括在該第一鰭片之上形成一矽蓋層。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括在形成該介電層於該半導體蓋層之上之前,用一含氮化物氣體(nitride-containing gas)處理該半導體蓋層。
  5. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一鰭片和該基底包括一相同材料。
  6. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括在凹蝕該絕緣材料之前,將該絕緣材料硬化。
  7. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中該半導體蓋層為一矽蓋層,且該介電層為一氮化矽層,其中將該絕緣材料硬化的步驟使該介電層的一頂層轉變為氮氧化矽。
  8. 如申請專利範圍第6項所述之半導體裝置的形成方法,其中該硬化包括:執行一第一退火製程;在該第一退火製程之後,執行一平坦化製程;以及執行一第二退火製程。
  9. 如申請專利範圍第8項所述之半導體裝置的形成方法,其中該平坦化製程移除該絕緣材料的複數個頂部,且暴露出該第一鰭片的該上表面之上的一遮罩層。
  10. 如申請專利範圍第8項所述之半導體裝置的形成方法,其中執行該第一退火製程包括:執行一第一濕式蒸氣退火;以及在該第一濕式蒸氣退火之後,執行一第一乾式退火。
  11. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中該第一乾式退火於包括氮氣的環境中執行。
  12. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中執行該第二退火製程包括:執行一第二濕式蒸氣退火;以及在該第二濕式蒸氣退火之後,執行一第二乾式退火。
  13. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該第一區是一P型金屬氧化物半導體區,其中該方法更 包括在該基底的一N型金屬氧化物半導體區中形成一第二鰭片,該N型金屬氧化物半導體區相鄰於該P型金屬氧化物半導體區,其中該半導體蓋層和該介電層形成於該第一鰭片之上和該第二鰭片之上。
  14. 一種鰭式場效電晶體裝置的形成方法,包括:在一基底的一P型金屬氧化物半導體區中形成一第一鰭片;在該基底的一N型金屬氧化物半導體區中形成一第二鰭片,該N型金屬氧化物半導體區相鄰於該P型金屬氧化物半導體區;在該第一鰭片和該第二鰭片之上形成一矽蓋層;對該矽蓋層執行一氮化處理;在該矽蓋層之上形成一第一介電材料;在該第一鰭片與該第二鰭片之間且在該第一鰭片與該第二鰭片之上沉積一第二介電材料,其中該第一介電材料介於該矽蓋層與該第二介電材料之間;執行一熱製程以將該第二介電材料硬化;在該熱製程之後,凹蝕該第二介電材料,以暴露出該第一鰭片的一頂部和該第二鰭片的一頂部;以及在該第一鰭片之上形成一第一閘極結構,且在該第二鰭片之上形成一第二閘極結構。
  15. 如申請專利範圍第14項所述之鰭式場效電晶體裝置的形成方法,其中形成該矽蓋層包括順應性地形成該矽蓋層於該第一鰭片和該第二鰭片之上。
  16. 如申請專利範圍第14項所述之鰭式場效電晶體裝置的形成方法,其中執行該氮化處理包括:使用包含氨的氣體處理該矽蓋層。
  17. 如申請專利範圍第14項所述之鰭式場效電晶體裝置的形成方法,其中形成該第一介電材料包括順應性地形成一層氮化矽於該矽蓋層之上,其中執行該熱製程使該第一介電材料的一頂層轉變為氮氧化矽。
  18. 一種鰭式場效電晶體裝置,包括:一鰭片,突出於複數個隔離區的複數個上表面上方,該些隔離區位在該鰭片的兩側;一矽蓋層,介於該鰭片與該些隔離區之間;一第一介電材料,介於該矽蓋層與該些隔離區之間;以及一第二介電材料,不同於該第一介電材料且介於該第一介電材料與該些隔離區之間,該第二介電材料包括該第一介電材料的一氧化物。
  19. 如申請專利範圍第18項所述之鰭式場效電晶體裝置,其中該第一鰭片包括矽鍺,其中該第一介電材料包括氮化矽,且該第二介電材料包括氮氧化矽。
  20. 如申請專利範圍第18項所述之鰭式場效電晶體裝置,更包括一氧化矽膜介於該矽蓋層與該第一介電材料之間。
TW106139893A 2017-08-31 2017-11-17 半導體裝置的形成方法、鰭式場效電晶體裝置及其形成方法 TWI677909B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762552986P 2017-08-31 2017-08-31
US62/552,986 2017-08-31
US15/801,194 US10497577B2 (en) 2017-08-31 2017-11-01 Fin field-effect transistor device and method
US15/801,194 2017-11-01

Publications (2)

Publication Number Publication Date
TW201913756A true TW201913756A (zh) 2019-04-01
TWI677909B TWI677909B (zh) 2019-11-21

Family

ID=65437389

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106139893A TWI677909B (zh) 2017-08-31 2017-11-17 半導體裝置的形成方法、鰭式場效電晶體裝置及其形成方法

Country Status (4)

Country Link
US (3) US10497577B2 (zh)
KR (1) KR102072031B1 (zh)
CN (1) CN109427684B (zh)
TW (1) TWI677909B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11038058B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
TWI752646B (zh) * 2019-09-26 2022-01-11 台灣積體電路製造股份有限公司 半導體裝置之製造方法
TWI832442B (zh) * 2021-11-12 2024-02-11 台灣積體電路製造股份有限公司 形成半導體裝置結構的方法和形成介電層的方法
TWI850698B (zh) 2021-07-09 2024-08-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10170318B2 (en) * 2017-04-28 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US11164974B2 (en) * 2017-09-29 2021-11-02 Intel Corporation Channel layer formed in an art trench
US10867859B2 (en) * 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
KR102550651B1 (ko) * 2018-06-22 2023-07-05 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US10553707B1 (en) * 2018-08-22 2020-02-04 Globalfoundries Inc. FinFETs having gates parallel to fins
US10797049B2 (en) * 2018-10-25 2020-10-06 Globalfoundries Inc. FinFET structure with dielectric bar containing gate to reduce effective capacitance, and method of forming same
US11757020B2 (en) 2020-01-31 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11527653B2 (en) * 2020-07-22 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
CN114005755A (zh) * 2020-07-28 2022-02-01 泉芯集成电路制造(济南)有限公司 一种鳍式场效应晶体管及其制作方法
US11581438B2 (en) * 2020-08-12 2023-02-14 United Microelectronics Corp. Fin structure for fin field effect transistor and method for fabrication the same
US11996317B2 (en) * 2021-01-15 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming isolation regions by depositing and oxidizing a silicon liner
US11469229B2 (en) 2021-01-15 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11842933B2 (en) * 2021-01-15 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11855092B2 (en) * 2021-04-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
CN113948396A (zh) * 2021-09-18 2022-01-18 上海华力集成电路制造有限公司 鳍式场效应晶体管的制造方法
US20230170421A1 (en) * 2021-11-26 2023-06-01 Invention And Collaboration Laboratory Pte. Ltd. Transistor structure

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4421811B2 (ja) 2002-06-25 2010-02-24 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
KR100640653B1 (ko) * 2005-07-15 2006-11-01 삼성전자주식회사 수직채널을 가진 반도체소자의 제조방법 및 이를 이용한반도체소자
JP5137378B2 (ja) * 2006-10-20 2013-02-06 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP5039064B2 (ja) * 2007-02-14 2012-10-03 株式会社日立メディコ X線ct装置
JP5933160B2 (ja) * 2008-12-04 2016-06-08 株式会社半導体エネルギー研究所 表示装置、電子機器及び移動体
US9953885B2 (en) * 2009-10-27 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. STI shape near fin bottom of Si fin in bulk FinFET
US20110140229A1 (en) 2009-12-16 2011-06-16 Willy Rachmady Techniques for forming shallow trench isolation
US8389359B2 (en) * 2010-02-08 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming low resistance and uniform metal gate
US8354719B2 (en) * 2010-02-18 2013-01-15 GlobalFoundries, Inc. Finned semiconductor device with oxygen diffusion barrier regions, and related fabrication methods
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8486770B1 (en) * 2011-12-30 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming CMOS FinFET device
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8889495B2 (en) 2012-10-04 2014-11-18 International Business Machines Corporation Semiconductor alloy fin field effect transistor
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8895446B2 (en) 2013-02-18 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Fin deformation modulation
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
CN104124174B (zh) * 2013-04-28 2017-02-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9530775B2 (en) * 2013-06-12 2016-12-27 Globalfoundries Inc. Methods of forming different FinFET devices having different fin heights and an integrated circuit product containing such devices
CN106847924B (zh) 2013-06-20 2021-03-30 英特尔公司 具有掺杂的子鳍片区域的非平面半导体器件及其制造方法
US9184089B2 (en) 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9287262B2 (en) * 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
KR102130056B1 (ko) 2013-11-15 2020-07-03 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9219116B2 (en) 2014-01-15 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR102426666B1 (ko) * 2015-03-25 2022-07-28 삼성전자주식회사 집적회로 장치 및 이의 제조 방법
US9911806B2 (en) 2015-05-22 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Solvent-based oxidation on germanium and III-V compound semiconductor materials
CN107710411B (zh) 2015-06-12 2022-07-26 英特尔公司 用于形成相同管芯上的具有变化的沟道材料的晶体管的技术
US9330982B1 (en) * 2015-08-14 2016-05-03 Globalfoundries Inc. Semiconductor device with diffusion barrier film and method of manufacturing the same
US20170053825A1 (en) 2015-08-20 2017-02-23 Kang-ill Seo Semiconductor devices having fin field effect transistors with a single liner pattern in a first region and a dual liner pattern in a second region and methods for manufacturing the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9991154B2 (en) 2016-02-25 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a fin field effect transistor and a shallow trench isolation
US9853131B1 (en) * 2016-07-12 2017-12-26 International Business Machines Corporation Fabrication of an isolated dummy fin between active vertical fins with tight fin pitch
US10134870B2 (en) * 2016-11-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11038058B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11038061B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
TWI742607B (zh) * 2019-04-26 2021-10-11 台灣積體電路製造股份有限公司 半導體裝置結構及其形成方法
US11664454B2 (en) 2019-04-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure
US11961913B2 (en) 2019-04-26 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
TWI752646B (zh) * 2019-09-26 2022-01-11 台灣積體電路製造股份有限公司 半導體裝置之製造方法
US11670551B2 (en) 2019-09-26 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Interface trap charge density reduction
TWI850698B (zh) 2021-07-09 2024-08-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TWI832442B (zh) * 2021-11-12 2024-02-11 台灣積體電路製造股份有限公司 形成半導體裝置結構的方法和形成介電層的方法

Also Published As

Publication number Publication date
US11735430B2 (en) 2023-08-22
CN109427684B (zh) 2021-04-27
US10964548B2 (en) 2021-03-30
CN109427684A (zh) 2019-03-05
TWI677909B (zh) 2019-11-21
US20210210354A1 (en) 2021-07-08
US10497577B2 (en) 2019-12-03
US20200006077A1 (en) 2020-01-02
US20190067027A1 (en) 2019-02-28
KR102072031B1 (ko) 2020-01-31
KR20190024567A (ko) 2019-03-08

Similar Documents

Publication Publication Date Title
TWI677909B (zh) 半導體裝置的形成方法、鰭式場效電晶體裝置及其形成方法
TWI786077B (zh) 半導體裝置的製造方法及鰭式場效電晶體裝置的製造方法
CN110010470B (zh) 半导体器件及其形成方法
TWI643252B (zh) 半導體裝置的形成方法
CN111128741B (zh) 半导体器件及其制造方法
TWI739178B (zh) 半導體裝置及其形成方法
CN112420500B (zh) 鳍式场效应晶体管器件及其形成方法
US20180145131A1 (en) Semiconductor Device and Method
US10115639B2 (en) FinFET device and method of forming the same
TWI736988B (zh) 半導體裝置及其製造方法
TWI743531B (zh) 半導體裝置及其製造方法
CN110610861A (zh) 半导体装置的形成方法
KR102270503B1 (ko) 반도체 디바이스 및 방법
TW201946148A (zh) 半導體裝置之製造方法
CN111128744A (zh) 半导体装置的制造方法
TW202008597A (zh) 半導體裝置及其製造方法
KR102291841B1 (ko) 핀 전계 효과 트랜지스터 디바이스 및 이를 형성하는 방법
KR20220109274A (ko) 트랜지스터 게이트 구조물 및 이의 형성 방법
TW202125832A (zh) 半導體裝置
KR20220106008A (ko) 반도체 디바이스 및 방법
TW202046390A (zh) 半導體裝置的製造方法
TWI821698B (zh) 半導體元件及其製造方法
US12009391B2 (en) Nanosheet field-effect transistor device and method of forming
TWI844162B (zh) 半導體裝置及其形成方法
TW202145300A (zh) 半導體裝置及其製造方法