CN110610861A - 半导体装置的形成方法 - Google Patents

半导体装置的形成方法 Download PDF

Info

Publication number
CN110610861A
CN110610861A CN201910015645.5A CN201910015645A CN110610861A CN 110610861 A CN110610861 A CN 110610861A CN 201910015645 A CN201910015645 A CN 201910015645A CN 110610861 A CN110610861 A CN 110610861A
Authority
CN
China
Prior art keywords
gate
gate spacer
spacer
layer
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910015645.5A
Other languages
English (en)
Inventor
叶昕豪
黄靖宇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110610861A publication Critical patent/CN110610861A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/515Insulating materials associated therewith with cavities, e.g. containing a gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明实施例涉及半导体装置的形成方法,其公开用于在半导体装置中形成气体间隔物的方法及包括气体间隔物的半导体装置。在一实施例中,此方法可包括于基底上形成栅极堆叠,于栅极堆叠的多个侧壁上沉积第一栅极间隔物,于栅极堆叠的相对侧上外延生长多个源极/漏极区,于第一栅极间隔物上沉积第二栅极间隔物,以于第二栅极间隔物下形成气体间隔物。气体间隔物可横向地设置于源极/漏极区及栅极堆叠之间。

Description

半导体装置的形成方法
技术领域
本发明实施例涉及半导体集成电路的制造方法,特别涉及半导体装置及半导体装置的形成方法。
背景技术
半导体装置用于各种电子应用,例如个人电脑、手机、数码相机及其他电子设备。通常通过于半导体基底上依序沉积材料的绝缘或介电层、导电层及半导体层以及使用光刻(lithography)图案化各种材料层,以于其上形成电路组件及元件来制造半导体装置。
半导体工业通过不断减小最小部件尺寸以持续改善各种电子组件(例如,晶体管、二极管、电阻器及电容等)的集成密度(integration density),这允许更多组件整并到给定区域中。然而,随着最小部件尺寸的减小,出现了应该解决的额外问题。
发明内容
本发明的一些实施例提供半导体装置的形成方法,此方法包括于基底上形成栅极堆叠;于栅极堆叠的多个侧壁上沉积第一栅极间隔物;于栅极堆叠的相对侧上外延生长多个源极/漏极区;于第一栅极间隔物上沉积第二栅极间隔物,以于第二栅极间隔物下形成气体间隔物,气体间隔物横向地设置于源极/漏极区及栅极堆叠之间。
本发明的一些实施例提供半导体装置的形成方法,此方法包括于半导体基底上形成栅极堆叠;于栅极堆叠的多个侧壁上形成第一栅极间隔物;于第一栅极间隔物上形成虚设栅极间隔物;于与虚设栅极间隔物相邻的栅极堆叠的相对侧上形成多个外延源极/漏极区;蚀刻虚设栅极间隔物以于外延源极/漏极区及栅极堆叠之间形成凹陷;于凹陷上形成第二栅极间隔物,其中第二栅极间隔物的形成于凹陷内形成气体间隔物。
本发明的一些实施例提供半导体装置,此装置于半导体基底上的栅极堆叠;设置于栅极堆叠的多个侧壁上的第一栅极间隔物;设置于第一栅极间隔物的多个侧壁上的第二栅极间隔物;于半导体基底中的外延源极/漏极区;以及设置于第二栅极间隔物下的气体间隔物。
附图说明
通过以下的详细描述配合说明书附图,可以更加理解本发明实施例的内容。需强调的是,根据产业上的标准惯例,许多部件(feature)并未按照比例绘制。事实上,为了能清楚地讨论,各种部件的尺寸可能被任意地增加或减少。
图1示出根据一些实施例的三维视图中的鳍式场效晶体管(FinFET)的范例。
图2、图3、图4、图5、图6、图7、图8A、图8B、图8C、图9A、图9B、图9C、图10A、图10B、图10C、图11A、图11B、图11C、图12A、图12B、图12C、图13A、图13B、图13C、图14A、图14B、图14C、图15A、图15B、图16A、图16B、图17A、图17B、图18A、图18B、图19A、图19B是根据一些实施例的制造鳍式场效晶体管的中间阶段的剖面图。
附图标记说明:
50~基底;
50A、50B~区域;
51~分隔符号;
52~鳍片;
54~绝缘材料;
56~浅沟槽隔离区域;
58~鳍片;
60~虚设介电层;
62~虚设栅极层;
64~遮罩层;
72~虚设栅极电极;
74~遮罩;
76~第一栅极间隔物;
78~虚设栅极间隔物;
79~角部;
80~第二栅极间隔物;
81、90~凹陷;
82~源极/漏极区;
84~气体间隔物;
86~接触蚀刻停止层;
88、108~层间介电质;
92~栅极介电层;
94~栅极电极;
110、112~接触物;
A-A、B-B、C-C~剖面;
W1、W2、W3~宽度;
H1~高度。
具体实施方式
以下内容提供了很多不同的实施例或范例,用于实施本发明实施例的不同部件。组件和配置的具体范例描述如下,以简化本发明实施例。当然,这些仅仅是范例,并非用以限定本发明实施例。举例而言,叙述中若提及第一部件形成于第二部件上,可能包含第一和第二部件直接接触的实施例,也可能包含额外的部件形成于第一和第二部件之间,使得第一和第二部件不直接接触的实施例。另外,本发明实施例可能在许多范例中重复元件符号及/或字母。这些重复是为了简化和清楚的目的,其本身并非代表所讨论各种实施例及/或配置之间有特定的关系。
再者,此处可能使用空间上的相关用语,例如“在……之下”、“在……下方”、“下方的”、“在……上方”、“上方的”和其他类似的用语可用于此,以便描述如图所示的一元件或部件与其他元件或部件之间的关系。此空间上的相关用语除了包含附图示出的方位外,也包含使用或操作中的装置的不同方位。当装置被转至其他方位时(旋转90度或其他方位),则在此所使用的空间相对描述可同样依旋转后的方位来解读。
图1示出根据一些实施例的三维视图中的鳍式场效晶体管的范例以供参考。鳍式场效晶体管包括在基底50(例如,半导体基底)上的鳍片58。在基底50内设置隔离区域56,且鳍片58在相邻的隔离区域56之上和之间突出。虽然隔离区域56被描述/示出为与基底50分离,但是如本文所使用的术语“基底”,其可以用于代表半导体基底或包括隔离区域的半导体基底。栅极介电层92沿着鳍片58的侧壁且在鳍片58的顶表面上,并且栅极电极94在栅极介电层92上。在鳍片58的相对于栅极介电层92及栅极电极94的相对侧内设置源极/漏极区82。图1进一步示出在后面的附图中使用的参考剖面。剖面A-A沿着栅极电极94的纵轴并且在一个方向上,举例而言,垂直于鳍式场效晶体管的源极/漏极区82之间的电流流动的方向。剖面B-B垂直于剖面A-A且沿着鳍片58的纵轴并且例如在鳍式场效晶体管的源极/漏极区82之间的电流流动的方向上。剖面C-C平行于剖面A-A并延伸通过鳍式场效晶体管的源极/漏极区82中的一个。为清楚起见,后续附图参考这些参考剖面。
在使用后栅极工艺(gate-last process)形成的鳍式场效晶体管的背景下讨论本文讨论的一些实施例。在其他实施例中,可使用前栅极工艺(gate-first process)。且,一些实施例考虑在平面装置中使用的观点,例如平面式场效晶体管(planar FETs)。
图2至图19B是根据一些实施例的制造鳍式场效晶体管的中间阶段的剖面图。图2至图7示出图1中所示的参考剖面A-A(除了多个鳍片/鳍式场效晶体管的外)。在图8A至图19B中,以“A”标记结尾的图示出沿着图1中所示的参考剖面A-A,以“B”标记结尾的图示出沿着图1中所示的参考剖面B-B,且以“C”标记结尾的图示出沿着图1中所示的参考剖面C-C。
在图2中,提供基底50。基底50可以是半导体基底,例如块状半导体(bulksemiconductor)基底、绝缘体上的半导体(semiconductor-on-insulator,SOI)基底或类似基底,其可以是掺杂(例如,用p型或n型掺杂物)或非掺杂。基底可为晶圆,例如硅晶圆。一般而言,绝缘体上的半导体(semiconductor-on-insulator,SOI)基底是形成在绝缘层上的半导体材料的膜层。举例而言,绝缘层可以是埋入式氧化物(BOX)层、氧化硅层或类似物。在基底上提供绝缘层,上述基底通常是硅或玻璃基底。也可以使用其他基底,例如多层或梯度(gradient)基底。在一些实施例中,基底50的半导体材料可包括硅、锗;化合物半导体(包括碳化硅(silicon carbide)、砷化镓(gallium arsenic)、磷化镓(gallium phosphide)、磷化铟(indium phosphide)、砷化铟(indium arsenide)及/或锑化铟(indiumantimonide));合金半导体(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP);或上述的组合。
基底50具有区域50A和区域50B。区域50A可以用于形成n型装置,例如NMOS晶体管(例如n型鳍式场效晶体管(n-type FinFET))。区域50B可以用于形成p型装置,例如PMOS晶体管(例如p型鳍式场效晶体管(p-type FinFET))。区域50A可以与区域50B物理性地分离(如分隔符号(divider)51所示),且可以在区域50A及区域50B之间设置任何数量的装置部件(例如,其他主动装置(active devices)、掺杂区域及隔离结构等)。在一些实施例中,区域50A及区域50B都用于形成相同类型的装置,例如用于n型装置或p型装置的两个区域。
在图3中,在基底50内形成鳍片52。鳍片52是半导体条(semiconductor strip)。在一些实施例中,可通过蚀刻基底50内的沟槽(trench)以在基底50内形成鳍片52。蚀刻可以是任何合适的蚀刻工艺中的一种或多种,例如反应性离子蚀刻(reactive ion etch,RIE),中性束蚀刻(neutral beam etch,NBE)、类似的蚀刻法或上述的组合。蚀刻可以是非等向性的(anisotropic)。请注意,尽管鳍片52示出为具有线性边缘,但是鳍片52可以是圆形的(rounded)或具有任何其他合适的形状。
在图4中,在基底50上及相邻的鳍片52之间形成绝缘材料54。绝缘材料54可以是例如氧化硅的氧化物、氮化物、类似材料或前述的组合,且可以通过高密度等离子体化学气相沉积(high density plasma chemical vapor deposition,HDP-CVD)、可流动式化学气相沉积(FCVD)(例如在遥控等离子体系统中将CVD为主的材料沉积且接着进行沉积后硬化,以使其转变为其他材料,例如氧化物)、类似工艺或前述的组合。可以使用任何适当的工艺形成其他绝缘材料。如图所示的实施例中,绝缘材料54是由可流动式化学气相沉积(FCVD)工艺形成的氧化硅。一旦形成绝缘材料就可进行退火工艺。在一实施例中,绝缘材料54形成为使得多余的绝缘材料覆盖鳍片52。
在图5中,对绝缘材料54施以平坦化工艺(planarization process)。在一些实施例中,平坦化工艺包括化学机械研磨(chemical mechanical polish,CMP)、回蚀刻工艺(etch-back process)、前述的组合或类似的工艺。平坦化工艺暴露出鳍片52。在平坦化工艺完成之后,鳍片52和绝缘材料54的顶表面是齐平的。
在图6中,凹陷化绝缘材料54以形成浅沟槽隔离(shallow trench isolation,STI)区域56。凹陷化绝缘材料54,使得区域50A中及区域50B中的鳍片58从相邻的浅沟槽隔离区域56之间突出。更进一步地,浅沟槽隔离区域56的顶表面可以具有平坦表面(如图所示)、凸表面,凹表面(例如凹陷(dishing))或前述的组合。通过适当的蚀刻工艺可使得浅沟槽隔离区域56的顶面形成为平坦、凸出及/或凹入。可以使用适当的蚀刻工艺凹陷化浅沟槽隔离区域56,例如对绝缘材料54的材料有选择性的蚀刻工艺。举例而言,可使用采用蚀刻或应用材料(Applied Materials)SICONI工具或稀释氢氟(dilutehydrofliuric,dHf)酸的化学氧化物移除(chemical oxide removal)。
本发明所属技术领域中技术人员应可轻易地理解,关于图2至图6描述的工艺仅是如何形成鳍片58的一个范例。在一些实施例中,在基底50的顶表面上可形成介电层;可以蚀刻出穿过介电层的沟槽;可在沟槽内外延生长同质外延(homoepitaxial)结构;以及可以凹陷化介电层使得同质外延结构自介电层突出,以形成鳍片58。在其他实施例中,异质外延(heteroepitaxial)结构可以用于鳍片52。举例而言,可以凹陷化图5所示的鳍片52,且可以在凹陷位置上外延生长不同于鳍片52的材料。甚至在更进一步的实施例中,在基底50的顶表面上可形成介电层;可以蚀刻出穿过介电层的沟槽;使用不同于基底50的材料在沟槽内外延生长异质外延结构;以及可以凹陷化介电层使得异质外延结构自介电层突出,以形成鳍片58。在一些实施例中,外延生长同质或异质外延结构的生长材料可在生长时进行原位掺杂(in situ doped)。如此可免除先前和随后的布植,尽管原位和布植掺杂可以一起使用。再者,其对于在与PMOS区的材料不同的NMOS区上外延生长是有利的。在各种实施例中,鳍片58可包括锗化硅(SixGe1-x,其中x可在0至1的范围内)、碳化硅、纯的或是大致上是纯的锗、三五族化合物半导体、二六族化合物半导体或类似材料。举例而言,用于形成三五族化合物半导体的可用材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP或类似材料,但不限于此。
在额外的实施例中,可在鳍片58、鳍片52及/或基底50内形成适当的井区(没有个别示出)。在一些实施例中,P型井区在区域50A内形成,且N型井区在区域50B内形成。在一些实施例中,P型井区或N型井区在区域50A及区域50B两者内形成。
在形成不同井区种类的实施例中,使用光刻胶或是其他遮罩(未个别示出)可以实现在区域50A及区域50B内的不同布植步骤。举例而言,在区域50A及区域50B内的鳍片58与浅沟槽隔离区域56上形成光刻胶。将光刻胶图案化以暴露出基底50的区域50B,例如PMOS区。使用旋涂(spin-on)技术形成光刻胶,且利用合适的光刻(photolithography)技术将光刻胶图案化。一旦光刻胶图案化后,在区域50B进行N型杂质布植,且光刻胶可作为遮罩,以大致上防止N型杂质布植入区域50A,例如NMOS区。N型杂质可以是磷、砷或类似元素,其布植入的区域的浓度等于或小于1018cm-3,例如介于约1017cm-3及约1018cm-3之间。在布植之后,移除光刻胶,例如通过合适的灰化工艺(ashing process)。
在布植区域50B之后,在区域50A及区域50B内的鳍片58与浅沟槽隔离区域56上形成第二光刻胶。将光刻胶图案化以暴露出基底50的区域50A,例如NMOS区。通过旋涂(spin-on)技术形成光刻胶,且利用合适的光刻(photolithography)技术将光刻胶图案化。一旦光刻胶图案化后,在区域50A进行P型杂质布植,且光刻胶可作为遮罩,以大致上防止P型杂质植入区域50B,例如PMOS区。P型杂质可以是硼、二氟化硼或类似材料,其布植的区域的浓度等于或小于1018cm-3,例如介于1017cm-3及约1018cm-3之间。在布植之后,移除光刻胶,例如通过合适的灰化工艺(ashing process)。
在布植区域50A和区域50B之后,进行退火(anneal)以活化布植的P型及/或N型杂质。在一些实施例中,外延鳍片的生长材料于生长时可为原位掺杂(in situ doped),其可以免除布植。根据一些实施例,原位掺杂和布植掺杂可以一起使用。
如图7所示,在鳍片58上形成虚设(dummy)介电层60,举例而言,虚设介电层60可以是氧化硅、氮化硅、前述的组合或类似材料,且可根据合适的技术来沉积或热生长虚设介电层60。在虚设介电层60上形成虚设栅极层62,且在虚设栅极层62上形成遮罩层64。在虚设介电层60上可沉积虚设栅极层62,接着将其平坦化,例如通过化学机械研磨(CMP)。可在虚设栅极层62上沉积遮罩层64。虚设栅极层62可为导电材料,且可从一族群中选择,此族群包括多晶硅(polysilicon)、多晶锗化硅(poly-SiGe)、金属氮化物、金属硅化物、金属氧化物和金属。在一实施例中,沉积非晶硅(amorphous silicon)并将其再结晶化,以产生多晶硅。可通过物理气相沉积(physical vapor deposition,PVD)、化学气相沉积(CVD)、溅镀沉积或是其他已知且已使用的用来沉积导电材料的技术来沉积虚设栅极层62。虚设栅极层62可由与隔离区域的蚀刻具有高蚀刻选择性的其他材料制成。遮罩层64可包括例如SiN、SiON或类似的材料。在本范例中,横跨区域50A和区域50B形成单一虚设栅极层62及单一遮罩层64。在一些实施例中,可在区域50A和区域50B内形成各自独立的虚设栅极层62,且可在区域50A和区域50B内形成各自独立的遮罩层64。举例而言,在一些实施例中,遮罩层64可包括形成在虚设栅极层62上的包括二氧化硅的第一遮罩层以及形成于第一遮罩层上的包括氮化硅的第二遮罩层。
图8A至图19B示出制造实施例装置的各种额外的步骤。图8A至图19B示出在区域50A及区域50B中的任一个的部件(feature)。举例而言,图8A至图19B所示的结构可适用于区域50A及区域50B两者。
在图8A至图8C中,使用合适的光刻和蚀刻技术图案化遮罩层64,以形成遮罩74。通过合适的蚀刻技术可将遮罩74的图案转移至虚设栅极层62和虚设介电层60,以形成虚设栅极电极72。虚设栅极电极72覆盖鳍片58各自的通道区。遮罩74的图案可用于将每个虚设栅极电极72与相邻的虚设栅极电极物理性地分离。虚设栅极电极72也可具有纵向方向,其大致上垂直于各自的外延鳍片52/58的纵向方向。
如图8A和图8B所示,在参考剖面A-A及参考剖面B-B中的鳍片58上设置虚设介电层60、虚设栅极电极72和遮罩74。然而,如图8C所示,在参考剖面C-C中从鳍片58上蚀刻虚设介电层60、虚设栅极电极72和遮罩74,使得在此剖面中的鳍片58没有虚设介电层60、虚设栅极电极72和遮罩74。
在形成虚设栅极电极72和遮罩74之后,进行轻掺杂源极/漏极(lightly dopedsource/drain,LDD)区(未个别示出)的布植。在具有不同装置种类的实施例中,类似于前述图6讨论的布植,在区域50A上形成遮罩(例如光刻胶),且暴露出区域50B,并且于区域50B内暴露出的鳍片58中布植适当种类(例如N型或P型)的杂质。接着将遮罩移除。其后,在区域50B上形成遮罩(例如光刻胶),且暴露出区域50A,并且布植适当种类的杂质于区域50A的暴露出的鳍片58中。接着将遮罩移除。N型杂质可为前面讨论的N型杂质的任何一种,且P型杂质可为前面讨论的P型杂质的任何一种。轻掺杂源极/漏极区的杂质浓度可以为约1015cm-3至约1016cm-3。可使用退火活化布植的杂质。
在图9A至图9C中,沿着虚设栅极电极72、遮罩74和鳍片58的侧壁以及虚设介电层60与鳍片58的顶表面上形成第一栅极间隔物层和虚设栅极间隔物层(未个别示出),然后蚀刻以形成第一栅极间隔物76及虚设栅极间隔物78。如下面将详细讨论的,虚设栅极间隔物78随后被移除及取代,因此被称为虚设栅极间隔物。可以使用形成第一栅极间隔物层的任何适合的方法。在一些实施例中,可使用沉积(例如化学气相沉积(CVD)、原子层沉积(atomic layer deposition、ALD)或类似沉积方法)或热氧化来形成第一栅极间隔物层。在一些实施例中,举例而言,第一栅极间隔物层可包括SiN、SiON、SiCN、SiOCN、前述的组合或类似材料的一或多层。在一些实施例中,第一栅极间隔物层可包括SiOCN(也可称为KN1)的一或多层。在一些实施例中,第一栅极间隔物层具有介于约2nm及约10nm之间的厚度,例如约4nm。
在一些实施例中,可以使用类似的工艺但不同于第一栅极间隔物层的材料在第一栅极间隔物层上形成虚设栅极间隔物层。在一实施例中,虚设栅极间隔物层可包括氮化硅的一或多层。在一些实施例中,虚设栅极间隔物层可包括富硅氮化硅(silicon-rich SiN)的一或多层。虚设栅极间隔物层可以具有介于约1.6及约2.5之间的折射率(refractiveindex,RI),例如约1.8。在一些实施例中,虚设栅极间隔物层具有介于约4nm及约10nm之间的厚度,例如约6nm或约7.5nm。
在虚设栅极间隔物层包括富硅氮化硅的实施例中,可以使用介于约550℃及约800℃之间的工艺温度(例如约580℃)来沉积虚设栅极间隔物层。通过流动硅前驱物(siliconprecursor)及氨(NH3)来沉积富硅氮化硅。硅前驱物压力为介于约1托(torr)及约4托之间,例如约2托。硅前驱物流速和氨(NH3)流速为介于约2标准升/分钟(slm)及约6标准升/分钟之间,或介于约2标准升/分钟及约20标准升/分钟之间,例如约15标准升/分钟。
可以由具有与第一栅极间隔物层相比的高蚀刻选择性的任何材料形成虚设栅极间隔物层。如此,如下面将更详细讨论的,可以选择性地移除由虚设栅极间隔物层形成的虚设栅极间隔物78,而不移除由第一栅极间隔物层形成的第一栅极间隔物76。在第一栅极间隔物层包括KN1(其具有对磷酸(H3PO4)的良好耐蚀刻性)的实施例中,虚设栅极间隔物层可以在160℃具有高磷酸蚀刻速率。举例而言,虚设栅极间隔物层的磷酸蚀刻速率可以为介于约100埃/分钟及约170埃/分钟之间,例如约150埃/分钟,在160℃。因此,可以通过磷酸湿式蚀刻移除虚设栅极间隔物78而不移除下面的第一栅极间隔物76。
如图9B及图9C所示,可非等向性地蚀刻第一栅极间隔物层和虚设栅极间隔物层,以形成第一栅极间隔物76和虚设栅极间隔物78。在非等向性蚀刻之后,虚设栅极间隔物78可具有介于约2nm及约7nm之间的最大宽度W1,例如约4nm。
如图9B所示,可以移除不在虚设栅极电极72、第一栅极间隔物76或虚设栅极间隔物78下面的虚设介电层60的部分。举例而言,氢氟酸(hydroflouric acid,HF)可以用于湿式蚀刻工艺中以移除虚设介电层60的部分。虚设栅极间隔物78可以由对氢氟酸具有良好抗蚀刻性的材料形成,使得虚设栅极间隔物78在虚设介电层60的湿式蚀刻之后保持完整。举例而言,对于具有100份去离子水(de-ionized water,DI water):1份氢氟酸的浓度的氢氟酸,虚设栅极间隔物78可具有小于5埃/20秒的蚀刻速率。
在图10A至图10C中,在鳍片58中形成外延源极/漏极区82。在鳍片58中形成外延源极/漏极区82,使得每个虚设栅极电极72设置在个别的相邻的外延源极/漏极区82对之间。一些实施例中,外延源极/漏极区82可以延伸到鳍片52中。在一些实施例中,第一栅极间隔物76和虚设栅极间隔物78用于将外延源极/漏极区82与虚设栅极电极72隔开适当的横向距离,因此,外延源极/漏极区82不会使随后形成得到的鳍式场效晶体管的栅极短路。
可以通过遮盖区域50B(例如,PMOS区域)及蚀刻在区域50A内的鳍片58的源极/漏极区来形成在鳍片58内的凹陷,以形成在区域50A(例如,NMOS区域)内的外延源极/漏极区82。然后,区域50A中的外延源极/漏极区82在凹陷中外延生长。外延源极/漏极区82可以包括任何合适的材料,例如用于n型鳍式场效晶体管的适当材料。举例而言,如果鳍片58是硅,则在区域50A内的外延源极/漏极区82可以包括Si、SiC、SiCP、SiP或类似材料。在区域50A内的外延源极/漏极区82可具有从鳍片58各自的表面升起的表面,并且可具有刻面(facets)。
可以通过遮盖区域50A(例如,NMOS区域)及蚀刻在区域50B内的鳍片58的源极/漏极区来形成在鳍片58内的凹陷,以形成在区域50B(例如,PMOS区域)内的外延源极/漏极区82。然后,在区域50B内的外延源极/漏极区82在凹陷中外延生长。外延源极/漏极区82可以包括任何合适的材料,例如用于p型鳍式场效晶体管的适当材料。举例而言,如果鳍片58是硅,则在区域50B内的外延源极/漏极区82可以包括SiGe、SiGeB、Ge、GeSn或类似材料。在区域50B内的外延源极/漏极区82可具有从鳍片58各自的表面升起的表面,并且可具有刻面。
可以采用各种湿式蚀刻工艺来移除用来遮盖用于上述外延生长工艺的区域50A及50B的遮罩。在一实施例中,可以使用高温硫酸过氧化氢混合物(high temperaturesulfuric peroxide mixture,HTSPM)来移除遮罩。因此,虚设栅极间隔物78可具有对于高温硫酸过氧化氢混合物(HTSPM)的良好抗蚀刻性,使得在蚀刻遮罩时不移除虚设栅极间隔物78。举例而言,对于高温硫酸过氧化氢混合物(HTSPM),虚设栅极间隔物78可具有小于10埃/120秒的蚀刻速率。
类似于前面所讨论的形成轻掺杂源极/漏极区的工艺,可布植外延源极/漏极区82及/或鳍片58以形成源极/漏极区,接着进行退火。源极/漏极区的杂质浓度可为介于约1019cm-3及约1021cm-3之间。源极/漏极区的N型及/或是P型杂质可以是前面所讨论的任何一种杂质。在一些实施例中,外延源极/漏极区82可在生长过程中可进行原位掺杂。
作为用于在区域50A和区域50B内形成外延源极/漏极区82的外延工艺的结果,外延源极/漏极区82的上表面可以具有刻面(facet),其横向向外扩展而超过鳍片58的侧壁。在一些实施例中,如图10C所示,这些刻面导致同一个鳍式场效晶体管的相邻的外延源极/漏极区82合并。在其他实施例中,在外延生长工艺完成之后,相邻的外延源极/漏极区82可以保持分离(未个别示出)。
在图11A至图11C中,通过蚀刻移除虚设栅极间隔物78。可以通过湿式蚀刻或干式蚀刻移除虚设栅极间隔物78。在一些实施例中,可以通过使用高选择性酸的湿式蚀刻工艺移除虚设栅极间隔物78。举例而言,在第一栅极间隔物76包括KN1且虚设栅极间隔物78包括富硅氮化硅的实施例中,可用使用利用磷酸的湿式蚀刻来选择性地移除虚设栅极间隔物78,而不移除第一栅极间隔物76。
如图11A和图11B所示,湿式蚀刻可以大致上去移除虚设栅极间隔物78的材料的全部,同时使第一栅极间隔物76的材料的全部大致上保持完整。结果,如图11B所示,可以在虚设栅极电极72和外延源极/漏极区82之间横向形成凹陷81。更具体地,可以在沿着虚设栅极的侧壁形成的第一栅极间隔物76的部分和外延源极/漏极区82之间形成凹陷81。凹陷81可以具有介于约2nm及约7nm之间的宽度W2,例如约5nm。
在一些实施例中,在湿式蚀刻工艺期间,可以不完全移除虚设栅极间隔物78。举例而言,如图11C所示,可以在与外延源极/漏极区82相邻的区域内沿着第一栅极间隔物76的侧壁保留虚设栅极间隔物78的一部分。此外,可在角部79中保留虚设栅极间隔物78的材料的至少一些,如图11B所示。在湿式蚀刻之后保留虚设栅极间隔物78的部分的实施例中,凹陷81的形状可以改变,并且气体间隔物84的有效k值可以提高,如下面将详细讨论的内容。
在图12A至图12C中,在图11A至图11C所示的结构上形成第二栅极间隔物层。可以通过化学气相沉积(CVD)或化学气相沉积类的沉积来形成第二栅极间隔物层。第二栅极间隔物层可包括一或多层。在一些实施例中,第二栅极间隔物层可以由低介电常数(low-k)材料形成。举例而言,第二栅极间隔物层可以由k值介于约3.5及约4之间的低介电常数氮化硅(low-k silicon nitride)的一或多层形成。在一些实施例中,第二栅极间隔物层可以进一步包括碳,氧、前述的组合或类似材料,以降低第二栅极间隔物层的k值。
可以使用低温工艺沉积第二栅极间隔物层。举例而言,工艺温度可以介于约50℃及约200℃之间。在一些实施例中,可以在沉积第二栅极间隔物层之后执行退火工艺。退火工艺可以在介于约600℃及约800℃之间的温度下进行,退火工艺可以持续一段介于约2小时及约4小时之间的时间。
根据一些实施例,第二栅极间隔物层可以包括SiOCN,其组成为约33.4质量%的硅、4.6质量%的氧、42.6质量%的碳及19.5质量%的氮。此材料可称为KN1。使用包括六氯乙硅烷(Si2Cl6,HCD)、丙烯(C3H6)、氧(O2)及氨(NH3)的前驱物沉积第二栅极间隔物层。在约630℃的工艺温度下沉积第二栅极间隔物层,并且所得的第二栅极间隔物层具有约2.467g/cm3的密度和约5.0的k值。
根据一些实施例,第二栅极间隔物层可以包括SiOCN,其组成为约29.8质量%的硅、7.2质量%的氧、46.5质量%的碳及16.4质量%的氮。此材料可称为RP1。使用包括六氯乙硅烷(Si2Cl6,HCD)、RENA(triethylamine,(C2H5)3N,TEA)、NH3及O2的前驱物沉积第二栅极间隔物层。在约630℃的工艺温度下沉积第二栅极间隔物层,并且所得的第二栅极间隔物层具有约2.397g/cm3的密度和介于约4.2及约4.3之间的k值。
根据一些实施例,第二栅极间隔物层可以包括SiOCN,其组成为约28.1质量%的硅、2.0质量%的氧、62.2质量%的碳及7.7质量%的氮。此材料可称为RP2CIP。使用包括六氯乙硅烷(Si2Cl6,HCD)、RENA(triethylamine,(C2H5)3N,TEA)及O2的前驱物沉积第二栅极间隔物层。在约630℃的工艺温度下沉积第二栅极间隔物层,之后在约700℃对第二栅极间隔物层进行退火,并且所得的第二栅极间隔物层具有约2.3g/cm3的密度和约4.1的k值。
根据一些实施例,第二栅极间隔物层可以包括SiOCN,其组成为约28.1质量%的硅、11.2质量%的氧、60.1质量%的碳及0.6质量%的氮。此材料可称为HA3CIP。使用包括卡里普索(Calypso)及水的前驱物且伴随当作催化剂的吡啶(C5H5N)来沉积第二栅极间隔物层。在低温低压(LPLT)工艺中沉积第二栅极间隔物层。在约80℃的工艺温度下沉积第二栅极间隔物层,之后在约570℃对第二栅极间隔物层进行退火,并且所得的第二栅极间隔物层具有约2.05g/cm3的密度和约3.8的k值。
根据一些实施例,第二栅极间隔物层可以包括SiOCN,其组成为约26.4质量%的硅、14.6质量%的氧、58.2质量%的碳及0.8质量%的氮。此材料可称为HN2CIP。使用包括卡里普索(Calypso)及水的前驱物且伴随当作催化剂的吡啶(C5H5N)来沉积第二栅极间隔物层。在低温低压(LPLT)工艺中沉积第二栅极间隔物层。在约80℃的工艺温度下沉积第二栅极间隔物层,之后在约570℃对第二栅极间隔物层进行退火,并且所得的第二栅极间隔物层具有约1.77g/cm3的密度和约3.6的k值。
在一些实施例中,第二栅极间隔物层由具有低阶梯覆盖率(step coverage)的材料形成。举例而言,第二栅极间隔物层的阶梯覆盖率可以表示为形成在第一栅极间隔物76的侧壁上的第二栅极间隔物层的厚度与形成在外延源极/漏极区82的顶表面上的第二栅极间隔物层的厚度的比率。栅极间隔物层可具有小于70%的阶梯覆盖率。在栅极间隔物层包括具有低阶梯覆盖率的材料的实施例中,空间可以通过栅极间隔物层下及第一栅极间隔物76和外延源极/漏极区82之间的栅极间隔物层保持未填充,如图12B所示。根据一些实施例,在沉积第二栅极间隔物层之前,可以用气体填充此凹陷,使得在第一栅极间隔物76和外延源极/漏极区82之间形成气体间隔物84。气体间隔物84也可以形成在浅沟槽隔离(STI)区域56上方以及源极/漏极区82之间,如图12C所示。
在反应腔室(reaction chamber)中,在图11A至图11C的结构上沉积第二栅极间隔层。当沉积第二栅极间隔物层时,气体间隔物84可包括存在于反应腔室中的任何气体。根据一些实施例,气体间隔物84可包括空气。在一些实施例中,气体间隔物84可包括N2、Ar、Xe、NH3、Cl2、上述的组合或类似物。在一些实施例中,气体间隔物84可以进一步包括作为形成第二栅极间隔层的前驱气体,其包括六氯乙硅烷(Si2Cl6,HCD)、丙烯、氧气、氨、RENA(triethylamine,(C2H5)3N,TEA)、卡里普索(Calypso)、水、前述的组合或类似材料。气体间隔物84可以具有0或非常接近0的k值。
气体间隔物84可具有介于约1nm及约7nm之间的宽度W3,例如约2nm。气体间隔物84还可以具有介于约2nm及约10nm之间的高度H1(也称为厚度),例如约7nm。第二栅极间隔物80的下表面可以界定气体间隔物84的上边界,外延源极/漏极区82的侧表面可以界定气体间隔物84的第一侧边界,第一栅极间隔物76的侧壁可以界定气体间隔物84的第二侧边界,第一栅极间隔物76的顶表面的部分可以界定气体间隔物84的底边界。气体间隔物84的顶边界、第一侧边界、第二侧边界及底边界可以是线性的或弯曲的。举例而言,在一些实施例中,气体间隔物84的顶边界可以是凸的或凹的。在蚀刻虚设栅极间隔物78之后保留虚设栅极间隔物78的部分的实施例中,气体间隔物84的第一侧边界、第二侧边界及底边界可以是多边形或部分圆形。
第二栅极间隔物层可以顺应性地(conformally)沉积在图11A至图11C的结构上,然后非等向性地蚀刻第二栅极间隔物层以形成第二栅极间隔物80。在第二栅极间隔物层的非等向性蚀刻之后,沿第一栅极间隔物76的侧壁设置第二栅极间隔物80,如图12B所示。如图12C所示,沿着外延源极/漏极区82、虚设栅极间隔物78及/或第一栅极间隔物76及浅沟槽隔离区域56的表面设置第二栅极间隔物80。在非等向性蚀刻之后留下的的第二栅极间隔物80的厚度及形状可以取决于第二栅极间隔物层的厚度和第二栅极间隔物层的阶梯覆盖率。此外,在一些实施例中,可以在第二栅极间隔物80外延源极/漏极区82、虚设栅极间隔物78及/或第一栅极间隔物76及浅沟槽隔离区域56之间形成额外的气体间隔物(未个别示出)。图12C进一步示出可以在相邻且合并的外延源极/漏极区82之间设置气体间隔物84。
在一些实施例中,第二栅极间隔物80至少部分地在外延源极/漏极区82上延伸。第二栅极间隔物80的底表面可以与外延源极/漏极区82的顶表面共平面,或者可以在外延源极/漏极区82的顶表面的上方或下方设置第二栅极间隔物80的底表面。第二栅极间隔物80的底表面可以是凸的、凹的或平坦的。
空气具有接近0的超低k值。如此,在图12A至图12C的结构中形成气体间隔物84降低了图12A至图12C的结构中使用的间隔物的总有效k值。在一些实施例中,气体间隔物84可以降低根据本申请制造的装置的寄生电阻(parasitic resistance)。根据本申请的各种观点,N/P通道电阻(channel resistance)可以减小到小于约2.0×103欧姆。装置模式(device mode)改变的速度可以由装置的电子快速速度(swift speed)决定。气体间隔物84包含在装置中可导致高电子速度、装置速度增加及交流电(AC)模式中装置模式变化的增加。此外,在外延源极/漏极区82的外延生长工艺期间使用富硅虚设栅极间隔物78导致用于外延生长的更好的环境及外延源极/漏极区82的更好的外延生长。
在图13A至图13C中,在图12A至图12C中所示的结构上形成接触蚀刻停止层(contact etch stop layer,CESL)86。根据一些实施例,接触蚀刻停止层86由氮化硅、碳化硅或其他介电材料形成。举例而言,接触蚀刻停止层86可以由具有分子式Si3N4的氮化硅形成。如图13B和图13C所示,可以在第二栅极间隔物80上形成接触蚀刻停止层86,使得气体间隔物84保持未填充或填充空气。
在图14A至图14C中,在图13A至图13C中所示的结构上沉积层间介电质(inter-layer dielectric,ILD)88。层间介电质88可由介电材料形成,且可通过任何合适的方法来沉积,例如化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)或可流动化学气相沉积(FCVD)。介电材料可包括磷硅酸盐玻璃(phosphosilicate glass,PSG)、硼硅酸盐玻璃(borosilicate glass,BSG)、硼磷硅酸盐玻璃(boron-doped phosilicate glass,BPSG)、无掺杂硅玻璃(undoped silicate glass,USG)或类似材料。然而,也可以使用通过任何合适的工艺形成的其他绝缘材料。
在图15A和图15B中,可以进行平坦化工艺(例如化学机械研磨(CMP)),以使层间介电质88的顶表面与虚设栅极电极72的顶表面齐平。平坦化工艺也可以移除在虚设栅极电极72上的接触蚀刻停止层86的部分、遮罩74、第一栅极间隔物76的部分及第二栅极间隔物80的部分。在平坦化工艺之后,虚设栅极电极72、第一栅极间隔物76、第二栅极间隔物80和层间介电质88的顶表面为齐平的。因此,通过层间介电质88暴露出虚设栅极电极72的顶表面。
在图16A和图16B中,在蚀刻步骤中移除虚设栅极电极72和直接位于暴露的虚设栅极电极72下的虚设介电层60的部分,从而形成凹陷90。在一些实施例中,通过非等向性干式蚀刻工艺移除虚设栅极电极72。举例而言,蚀刻工艺可包括使用反应气体的干式蚀刻工艺,其中反应气体选择性地蚀刻虚设栅极电极72而不蚀刻层间介电质88或第一栅极间隔物76。每个凹陷90暴露对应的鳍片58的通道区。在外延源极/漏极区82的相邻对之间设置每个通道区。在移除期间,当蚀刻虚设栅极电极72时,虚设介电层60可以作为蚀刻停止层。然后,可以在移除虚设栅极电极72之后,移除虚设介电层60。
在图17A和图17B中,形成用于置换栅极(replacement gates)的栅极介电层92和栅极电极94。栅极介电层92顺应性地(conformally)沉积在凹陷90中。举例而言,栅极介电层92可以沉积在鳍片58的顶表面和侧壁上以及第一栅极间隔物76的侧壁上。栅极介电层92也可以形成在层间介电质88、接触蚀刻停止层86及第二栅极间隔物80的顶表面上。根据一些实施例,栅极介电层92包括氧化硅、氮化硅或前述材料的多层。在一些实施例中,栅极介电层92为高介电常数(high-k)的介电材料,且在这些实施例中,栅极介电层92的介电常数值可大于约7.0,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金属氧化物或硅酸盐及前述的组合。形成栅极介电层92的方法可包含分子束沉积(Molecular-Beam Deposition,MBD)、原子层沉积(ALD)和等离子体增强化学气相(PECVD)沉积或类似工艺。
在栅极介电层92上分别地沉积栅极电极94,并且填入凹陷90的留下的部分。栅极电极94可为含金属的材料,例如TiN、TaN、TaC、Co、Ru、Al、前述的组合或前述的多层。举例而言,尽管示出了单个栅极电极94,但是可以在凹陷90中沉积功函数调整层(work functiontuning layer)的任何数量。在填入栅极电极94之后,可进行平坦化工艺(例如化学机械研磨(CMP)),以移除栅极介电层92及栅极电极94的材料的多余部分,多余的部分在层间介电质88的顶表面上。栅极介电层92及栅极电极94的材料的留下的部分如此形成所得到的鳍式场效晶体管的置换栅极。栅极电极94和栅极介电层92可以合并称为“栅极”或“栅极堆叠”。栅极和栅极堆叠可以沿着鳍片58的通道区的侧壁延伸。
在区域50A及区域50B内的栅极介电层92的形成可以同时发生,使得栅极介电层92在各区由相同的材料形成,并且栅极电极94的形成可以同时发生,使得在各区的栅极电极94由相同的材料形成。在一些实施例中,在各区的栅极介电层92可经由不同工艺形成,使得栅极介电层92可为不同的材料,并且在各区的栅极电极94可经由不同工艺形成,使得栅极电极94为不同的材料。当使用不同工艺时,可使用不同的遮盖步骤,以遮盖及暴露出适当的区域。
在图18A和图18B中,层间介电质108沉积在层间介电质88上。在一实施例中,层间介电质108是通过可流动化学气相沉积(FCVD)方法形成的可流动膜。在一些实施例中,层间介电质108可由介电材料形成,上述介电材料例如是磷硅酸盐玻璃(phosphosilicateglass,PSG)、硼硅酸盐玻璃(borosilicate glass,BSG)、硼磷硅酸盐玻璃(boron-dopedphosilicate glass,BPSG)、无掺杂硅玻璃(undoped silicate glass,USG)或类似材料,且可通过任何适当的方法沉积,例如化学气相沉积(CVD)及等离子体增强化学气相沉积(PECVD)。
在图19A和图19B中,通过层间介电质108和层间介电质88形成接触物110及112。在一些实施例中,在形成接触物112之前,可以进行退火工艺以在外延源极/漏极区82和接触物112之间的界面处形成硅化物。接触物110物理性地和电性地连接到栅极电极94,并且接触物112物理性地和电性地连接到外延源极/漏极区82。图19A和图19B示出接触物110及112在相同剖面;然而,在其他实施例中,可以在不同的剖面中设置接触物110及112。进一步地,图19A和图19B中的接触物110和112的位置仅仅是说明性的,并不旨在以任何方式进行限制。举例而言,如图所示,接触物110可以与鳍片52垂直对齐,或者可以设置在栅极电极94上的不同位置。此外,可以在形成接触物110之前、同时与接触物110形成或形成接触物110之后形成接触物112。
根据一实施例,半导体装置的形成方法包括于基底上形成栅极堆叠;于栅极堆叠的多个侧壁上沉积第一栅极间隔物;于栅极堆叠的相对侧上外延生长多个源极/漏极区;于第一栅极间隔物上沉积第二栅极间隔物,以于第二栅极间隔物下形成气体间隔物,气体间隔物横向地设置于源极/漏极区及栅极堆叠之间。在一实施例中,气体间隔物具有介于2nm及10nm之间的厚度。在一实施例中,沉积第二栅极间隔物包括沉积具有小于70%的阶梯覆盖率的第二栅极间隔物材料。在一实施例中,气体间隔物设置于第一栅极间隔物及第二栅极间隔物之间。在一实施例中,气体间隔物的上边界通过第二栅极间隔物的下表面来界定,气体间隔物的上边界具有凹型形状。在一实施例中,于源极/漏极区及栅极堆叠之间横向地形成凹陷,其中气体间隔物设置于凹陷内。
根据另一实施例,半导体装置的形成方法包括于半导体基底上形成栅极堆叠;于栅极堆叠的多个侧壁上形成第一栅极间隔物;于第一栅极间隔物上形成虚设栅极间隔物;于与虚设栅极间隔物相邻的栅极堆叠的相对侧上形成多个外延源极/漏极区;蚀刻虚设栅极间隔物以于外延源极/漏极区及栅极堆叠之间形成凹陷;于凹陷上形成第二栅极间隔物,其中第二栅极间隔物的形成于凹陷内形成气体间隔物。在一实施例中,在蚀刻虚设栅极间隔物之后,形成第二栅极间隔物。在一实施例中,气体间隔物的上边界通过第二栅极间隔物的底表面来界定,气体间隔物的上边界具有凹型形状。在一实施例中,气体间隔物的上边界的至少一部分设置于外延源极/漏极区的上表面下。在一实施例中,于蚀刻虚设栅极间隔物之后,保留虚设栅极间隔物的至少一部分,且其中虚设栅极间隔物的部分部分地界定出气体间隔物的边界。在一实施例中,第一外延源极/漏极区及第二外延源极/漏极区形成于栅极堆叠的同侧上,其中第一外延源极/漏极区及第二外延源极/漏极区合并,且其中气体间隔物于第一外延源极/漏极区及第二外延源极/漏极区之间延伸。在一实施例中,凹陷具有介于5nm及7nm之间的宽度。在一实施例中,形成虚设栅极间隔物包括沉积虚设栅极间隔物层,虚设栅极间隔物层具介于有6nm及7.5nm之间的厚度;以及蚀刻虚设栅极间隔物层以形成虚设栅极间隔物。
根据又一实施例,半导体装置包括于半导体基底上的栅极堆叠;设置于栅极堆叠的多个侧壁上的第一栅极间隔物;设置于第一栅极间隔物的多个侧壁上的第二栅极间隔物;于半导体基底中的外延源极/漏极区;以及设置于第二栅极间隔物下的气体间隔物。在一实施例中,气体间隔物设置于外延源极/漏极区及栅极堆叠之间。在一实施例中,气体间隔物垂直地设置于第一栅极间隔物及第二栅极间隔物之间,且其中气体间隔物横向地设置于外延源极/漏极区及第一栅极间隔物之间。在一实施例中,气体间隔物具有介于2nm及10nm之间的厚度。在一实施例中,气体间隔物具有介于5nm及7nm之间的宽度。在一实施例中,气体间隔物的顶边界通过第二栅极间隔物来界定,气体间隔物的第一侧边界通过外延源极/漏极区来界定,气体间隔物的相对于第一侧边界的第二侧边界通过第一栅极间隔物来界定,且气体间隔物的底边界通过第一栅极间隔物来界定。
以上概述数个实施例的部件,以便在本发明所属技术领域中技术人员可以更加理解本发明实施例的观点。在本发明所属技术领域中技术人员应理解,他们能轻易地以本发明实施例为基础,设计或修改其他工艺和结构,以达到与在此介绍的实施例相同的目的及/或优势。在本发明所属技术领域中技术人员也应理解,此类等效的结构并无悖离本发明的精神与范围,且他们能在不违背本发明的精神和范围下,做各式各样的改变、取代和替换。因此,本发明的保护范围当视权利要求所界定为准。

Claims (1)

1.一种半导体装置的形成方法,包括:
于一基底上形成一栅极堆叠;
于该栅极堆叠的多个侧壁上沉积一第一栅极间隔物;
于该栅极堆叠的相对侧上外延生长多个源极/漏极区;以及
于该第一栅极间隔物上沉积一第二栅极间隔物,以于该第二栅极间隔物下形成一气体间隔物,该气体间隔物横向地设置于该些源极/漏极区及该栅极堆叠之间。
CN201910015645.5A 2018-06-15 2019-01-08 半导体装置的形成方法 Pending CN110610861A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/010,061 US10510861B1 (en) 2018-06-15 2018-06-15 Gaseous spacer and methods of forming same
US16/010,061 2018-06-15

Publications (1)

Publication Number Publication Date
CN110610861A true CN110610861A (zh) 2019-12-24

Family

ID=68840372

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910015645.5A Pending CN110610861A (zh) 2018-06-15 2019-01-08 半导体装置的形成方法

Country Status (3)

Country Link
US (2) US10510861B1 (zh)
CN (1) CN110610861A (zh)
TW (1) TW202002028A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113130482A (zh) * 2020-04-27 2021-07-16 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10748808B2 (en) * 2018-07-16 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap-filling process for semiconductor device
US11101366B2 (en) * 2018-07-31 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma oxide layer
US10868130B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
DE102020123264B4 (de) * 2020-03-30 2022-11-10 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleitervorrichtung und Verfahren zu dessen Herstellung
US11563001B2 (en) 2020-03-30 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer and capping structures in semiconductor devices
US11605673B2 (en) * 2020-12-09 2023-03-14 International Business Machines Corporation Dual resistive random-access memory with two transistors
US20220238697A1 (en) * 2021-01-28 2022-07-28 Taiwan Semiconductor Manfacturing Co., Ltd. Reducing K Values of Dielectric Films Through Anneal

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5915182A (en) * 1997-10-17 1999-06-22 Texas Instruments - Acer Incorporated MOSFET with self-aligned silicidation and gate-side air-gap structure
US7994040B2 (en) * 2007-04-13 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication thereof
WO2009077605A2 (en) * 2007-12-19 2009-06-25 Oerlikon Trading Ag, Trübbach Method for obtaining high performance thin film devices deposited on highly textured substrates
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
JP5883343B2 (ja) * 2012-04-12 2016-03-15 株式会社スズキプレシオン 医療用マニピュレータ
US9991190B2 (en) 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
KR101967614B1 (ko) * 2012-07-20 2019-04-10 삼성전자 주식회사 반도체 소자 제조 방법
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9871121B2 (en) * 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9985107B2 (en) * 2016-06-29 2018-05-29 International Business Machines Corporation Method and structure for forming MOSFET with reduced parasitic capacitance
US10943830B2 (en) * 2017-08-30 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned structure for semiconductor devices

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113130482A (zh) * 2020-04-27 2021-07-16 台湾积体电路制造股份有限公司 半导体器件及其形成方法

Also Published As

Publication number Publication date
US10868131B2 (en) 2020-12-15
US20190386111A1 (en) 2019-12-19
TW202002028A (zh) 2020-01-01
US10510861B1 (en) 2019-12-17
US20200044042A1 (en) 2020-02-06

Similar Documents

Publication Publication Date Title
CN110957221B (zh) 半导体器件及其形成方法
US11527430B2 (en) Semiconductor device and method
KR101769212B1 (ko) 반도체 디바이스 및 그 형성 방법
US10868131B2 (en) Gaseous spacer and methods of forming same
US11855178B2 (en) Semiconductor devices having air-gap
US11631746B2 (en) Semiconductor device and method of manufacture
CN109427595B (zh) 鳍型场效晶体管装置及其形成方法
CN111261523B (zh) 半导体器件及其形成方法
US11201084B2 (en) Fin field-effect transistor device and method of forming the same
CN111128884B (zh) 半导体器件及其形成方法
US11195717B2 (en) Semiconductor device and method
KR102571374B1 (ko) 반도체 디바이스 및 방법
CN111261520A (zh) 半导体装置及其形成方法
CN110970489B (zh) 半导体器件和形成半导体器件的方法
CN111128744A (zh) 半导体装置的制造方法
CN110875392B (zh) FinFET器件及其形成方法
CN115527944A (zh) 制造半导体元件的方法
CN113451209A (zh) 半导体器件和方法
CN220963354U (zh) 半导体元件
CN109599438B (zh) 半导体器件及其形成方法
US10529861B2 (en) FinFET structures and methods of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20191224