TW201937609A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW201937609A
TW201937609A TW107122586A TW107122586A TW201937609A TW 201937609 A TW201937609 A TW 201937609A TW 107122586 A TW107122586 A TW 107122586A TW 107122586 A TW107122586 A TW 107122586A TW 201937609 A TW201937609 A TW 201937609A
Authority
TW
Taiwan
Prior art keywords
layer
gate
fin
dielectric layer
self
Prior art date
Application number
TW107122586A
Other languages
English (en)
Inventor
黃如立
黃銘淇
莊英良
葉明熙
江欣哲
梁春昇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201937609A publication Critical patent/TW201937609A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本發明實施例提供的濕式製程輔助方法與其形成的結構,可實施於置換閘極製程。一般而言,一些例子中用於移除蓋層的濕蝕刻製程可形成第一單層於下方層上以作為黏著層,並形成第二單層於閘極間隔物與鰭狀物之間的界面介電層上以作為蝕刻保護機制。一般而言,一些例子中的濕式製程形成單層於金屬層(如阻障層或功函數調整層)上,以作為圖案化金屬層所用的硬遮罩。

Description

半導體結構
本發明實施例關於半導體裝置,更特別關於形成半導體裝置中的置換閘極結構。
半導體產業已演進至奈米技術的製程節點,以求更高的裝置密度、更高效能、與更低成本,而製程與設計面臨的挑戰導致三維設計如鰭狀場效電晶體的發展。一般的鰭狀場效電晶體具有自基板延伸的結構,其形成方法可為蝕刻基板的矽。鰭狀場效電晶體的通道形成於垂直鰭狀物中。閘極結構可位於鰭狀結構上,以包覆鰭狀結構。閘極結構位於通道上有利於對通道的閘極控制。鰭狀場效電晶體裝置提供多種優點,比如減少短通道效應與增加電流。
隨著裝置尺寸持續縮小,可採用金屬閘極而非一般的多晶矽閘極以改善鰭狀場效電晶體裝置的效能。形成金屬閘極堆疊的製程之一為置換閘極製程(又稱作閘極後製製程),其於最後才形成最終的閘極堆疊。
本發明一實施例提供之半導體結構,包括鰭狀物,位於基板上;閘極結構,位於鰭狀物上並沿著鰭狀物的側壁,其中閘極結構包括:閘極介電層,位於鰭狀物上並沿著鰭 狀物的側壁;蓋層,位於閘極介電層上:以及閘極金屬充填層,位於蓋層上;閘極間隔物,位於鰭狀物上並沿著閘極結構的側壁;以及第一自組裝單層,位於鰭狀物與該閘極間隔物之間,且第一自組裝單層含硼。
A-A’、B-B’、C-C’‧‧‧剖線
R‧‧‧基團
100‧‧‧基板
101‧‧‧裝置結構
102‧‧‧鰭狀物
106‧‧‧界面介電層
108‧‧‧虛置閘極層
110‧‧‧硬遮罩層
112‧‧‧虛置閘極堆疊
114‧‧‧凹陷
116‧‧‧隔離結構
218‧‧‧第一層間介電層
220‧‧‧閘極間隔物
221‧‧‧源極與汲極區
222、224‧‧‧上表面
302‧‧‧閘極介電層
330‧‧‧開口
404‧‧‧第一蓋層
406‧‧‧第二蓋層
508‧‧‧第一自組裝單層
510‧‧‧第二自組裝單層
812‧‧‧阻障層
814‧‧‧底抗反射塗層
816‧‧‧光阻
818‧‧‧區域
920‧‧‧第三自組裝單層
1222‧‧‧微量金屬層
1424‧‧‧功函數調整層
1426‧‧‧金屬充填層
1527‧‧‧閘極切割充填結構
1528‧‧‧第二層間介電層
1530‧‧‧導電結構
1600、1700‧‧‧方法
1602、1604、1606、1608、1610、1702、1704、1706、1708、1710、1712‧‧‧步驟
第1、2A、2B、與2C圖係一些實施例中,不同製程階段中的半導體裝置結構的圖式。
第3A、3B、4A、4B、5A、5B、8A、8B、8C、9A、9B、9C、11A、11B、11C、12A、12B、12C、13A、13B、13C、14A、14B、14C、15A、15B、與15C圖係一些實施例中,不同製程階段中的半導體裝置其剖視圖。
第6、7、與10圖係一些實施例中,製程階段中表面結構反應的不同例子。
第16圖係一些實施例中,移除蓋層的例示性步驟其流程圖。
第17圖係一些實施例中,用於圖案化阻障層之濕式製程輔助方法的例示性步驟其流程圖。
下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或 符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
本發明實施例一般關於半導體裝置,更特別關於形成半導體裝置中的置換閘極結構。本發明實施例提供的方法可用於置換閘極製程採用的濕式製程輔助方法,並提供此方法形成的結構。
本發明一些例子中提供的方法,其所用的濕式製程輔助方法可在置換閘極製程中形成多種結構的蝕刻保護機制。上述例子亦提供此方法形成的結構。舉例來說,置換閘極製自閘極介電層移除矽蓋時形成層狀物,而蝕刻劑經由層狀物之中或之間的弱位可輕易影響界面介電層。在移除矽蓋時,可由濕式製程形成蝕刻保護機制於界面介電層,即形成此處所述的蝕刻保護機制。在後續例子中,濕式製程可形成黏著層於置換閘極結構中。
在一些例子中,本發明實施例提供的方法可用於濕式製程輔助方法,以在置換閘極製程中選擇性地圖案化阻障層及/或功函數調整層。本發明實施例亦提供上述方法形成的結構。舉例來說,濕式製程輔助方法可讓物種與阻障層或功函 數層反應,以選擇性地形成硬遮罩層,其之後可用於移除阻障層或功函數層未與物種反應處。
此處所述的一些例子以鰭狀場效電晶體進行說明。在其他實施方式中,此處所述的多種方法其實施例可實施於垂直的全繞式閘極裝置、水平的全繞式閘極裝置、或其他裝置。此外,實施例可實施於任何先進技術節點或其他技術節點中。
在形成置換閘極結構以用於電晶體的製程中,形成虛置閘極堆疊於基板上,以作為後續形成之實際置換閘極結構的預占處。閘極間隔物沿著虛置閘極堆疊的側壁形成。在形成源極與汲極區於基板(比如基板上的鰭狀物)中之後,並在行成層間介電層於閘極間隔物上之後,將移除虛置閘極堆疊,以保留由閘極間隔物與層間介電層(其至少部份)所定義的開口。接著形成置換閘極結構於開口中。
虛置閘極結構可包含多種層狀物,比如閘極介電層(如高介電常數介電層)、阻障層、蓋層、自組裝層、功函數調整層、與閘極金屬充填層,如下所述。多個沉積與圖案化製程可用以形成多種層狀物,且多種層裝物可微調具有虛置閘極結構的電晶體其臨界電壓。在一些實施例中,功函數調整層可採用不同材料用於不同種類的電晶體(如p型鰭狀場效電晶體或n型鰭狀場效電晶體),以依需求增進裝置的電性效能。
第1圖顯示的基板100上形成有多個鰭狀物102,而虛置閘極堆疊112形成於鰭狀物102上。基板100可為或包含基體半導體基板、絕緣層上半導體基板、或另一基板。基板100 的半導體材料可包含或可為下述中至少一者:矽(比方結晶矽如矽<100>或矽<111>)、矽鍺、鍺、砷化鎵、或另一半導體材料。半導體材料可摻雜p型或n型摻質,或未摻雜。
每一鰭狀物102提供的主動區具有一或多個裝置如鰭狀場效電晶體。鰭狀物102的製作方法可採用合適製程,其包含光微影與蝕刻製程,以蝕刻凹陷114至基板100中並形成鰭狀物102。在一些例子中,鰭狀物102包含半導體元素如矽或鍺;半導體化合物或半導體合金如矽鍺、磷化矽、碳化矽、磷碳化矽、砷化鎵、磷化鎵、磷砷化鎵、磷化銦、砷化銦、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、磷砷化鎵銦、或銦銻;或上述之組合。
接著可將隔離材料填入凹陷114,並使隔離材料凹陷或回蝕刻隔離材料,以形成隔離結構116。隔離結構116可隔離基板100的一些區域,比如鰭狀物102中的主動區。在一例中,隔離結構可為淺溝槽隔離結構及/或其他合適的隔離結構。隔離結構116的組成可為氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃、低介電常數的介電材料、及/或其他合適的絕緣材料。淺溝槽隔離結構可包含多層結構,比如具有一或多個襯墊層。此外亦可採用其他製程技術以形成隔離結構116及/或鰭狀物。
虛置閘極堆疊112形成於鰭狀物102中。在第1圖所示的例子中,虛置閘極堆疊112包含界面介電層106、虛置閘極層108、與硬遮罩層110。虛置閘極堆疊112中的多種層狀物,其形成方法可為合適的沉積技術,且其圖案化方法可為合適的 光微影與蝕刻技術。此處所述的用語「虛置」指的是後續階段中將移除的犧牲結構,其將取代為另一結構如置換閘極結構。界面介電層106可為介電氧化物層。虛置閘極層108可為多晶矽層或其他合適層。硬遮罩層110可為適於圖案化基板上的虛閘極堆疊112的任何材料,且虛置閘極堆疊112具有所需的結構與尺寸。
在一實施例中,虛置閘極堆疊112的多種層狀物先沉積如毯狀層。接著以包含光微影與蝕刻的製程圖案化毯狀層,以移除毯狀層的部份。毯狀層的保留部份位於隔離結構116與鰭狀物上,以形成虛置閘極堆疊112。雖然第1圖自鰭狀物102移除界面介電層106以方便說明,而非自虛置閘極層108與個別鰭狀物102之間的區域中移除界面介電層106,但界面介電層106仍維持覆蓋鰭狀物102。
第2A圖係對第1圖之結構進行後續製程後的三維圖,其具有穿過結構的剖線A-A’、B-B’、與C-C’。剖線A-A’沿著虛置閘極堆疊112的縱軸,並越過鰭狀物102的通道區。剖線B-B’沿著第一鰭狀物102的縱軸,比如沿著鰭狀物102中的源極與汲極區221與通道區。剖線C-C’沿著另一鰭狀物102的縱軸,比如沿著另一鰭狀物102中的源極與汲極區221與通道區。剖線B-B’與C-C’垂直於剖線A-A’。第2B圖與後續圖式中末尾為「A」的圖式對應剖線A-A’的剖面,第2C圖與後續圖式中末尾為「B」的圖式對應剖線B-B’的剖面,而後續圖式中末尾為「C」的圖式對應剖線C-C’的剖面。下述的一些製程並未分開說明對應剖線C-C’的剖面,然而這些製程中C-C’剖線的剖面應與剖線 B-B’的剖面相同,例如第3B、4B、與5B圖的例子。在後續的詳細內容中,第2A圖並未顯示沿著剖線A-A’的一些結構,以避免擋住或遮住第2A圖中的多種結構。
在第2A、2B、與2C圖中,形成閘極間隔物220於虛置閘極堆疊112的側壁上。閘極間隔物220包含的材料不同於虛置閘極堆疊112所用的材料。在一實施例中,閘極間隔物220包含介電材料,比如氮化矽、氮氧化矽、氮碳化矽、氮碳氧化矽、或類似物。在一例中,閘極間隔物220可為單層或多層。在一實施例中,形成虛置閘極堆疊112之後,順應性地沉積一或多層的間隔物層於裝置結構101上。接著進行非等向蝕刻製程,移除間隔物層的部份以形成閘極間隔物220。
在形成閘極間隔物220之後,可進行凹陷化與磊晶成長製程,以形成磊晶的源極與汲極區221。蝕刻鰭狀物102以形成凹陷,其可用於磊晶的源極與汲極區221,且凹陷與虛置閘極堆疊112相鄰。在上述蝕刻中,虛置閘極堆疊112與閘極間隔物220作為遮罩。凹陷形成於虛置閘極堆疊112的兩側上的鰭狀物102中。凹陷的形成方法可為任何合適的蝕刻製程,以形成任何輪廓的凹陷。蝕刻製程亦自鰭狀物102移除虛置閘極堆疊112與閘極間隔物220未遮罩的界面介電層106。
接著可磊晶成長源極與汲極區221於凹陷中。磊晶成長製程時可原位摻雜p型摻質或n型摻質至磊晶的源極與汲極區221,以形成p型或n型裝置;及/或在磊晶成長製程之後進行佈植。在一些例子中,磊晶的源極與汲極區221可包含矽、碳化矽、磷化矽、磷碳化矽、II-VI族半導體化合物、III-V族 半導體化合物、或類似物,其中磊晶的源極與汲極區221可原位摻雜及/或後續佈植n型或p型摻質。磊晶成長可為任何合適的磊晶製程。
接著形成第一層間介電層218於基板100與閘極間隔物220上。在一些實施例中,裝置結構101亦可包含接點蝕刻停止層(未圖示)於第一層間介電層218之下,以及基板100與閘極間隔物220之上。第一層間介電層218的材料可包含四乙氧基矽烷氧化物、未摻雜的矽酸鹽玻璃、摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、或摻雜硼的矽酸鹽玻璃、及/或其他合適的介電材料。第一層間介電層218的沉積方法可為合適的沉積技術。在沉積第一層間介電層218之後,可進行化學機械研磨製程以平坦化第一層間介電層218,使第一層間介電層218的上表面224與虛置閘極層108的上表面222實質上共平面,如第2A圖所示。化學機械研磨製程所露出的虛置閘極層108的上表面222,可用於後續製程階段。化學機械研磨製程可移除硬遮罩層110。
雖然第2A圖未顯示,但第2B圖顯示閘極間隔物220亦形成於虛置閘極堆疊112的側壁上並與剖線A-A’相交,因此閘極間隔物220可橫向地圍繞虛置閘極堆疊112。第一層間介電層218亦可沿著剖線A-A’的剖面形成於閘極間隔物220上,如第2B圖所示。這些結構未圖示於第2A圖中以避免阻擋其所示的其他結構,然而這些結構如沿著剖線A-A’的剖面之第2B圖與後續圖式所示,在其他例子中,剖線A-A’其剖面中的這些結構可不同或省略。舉例來說,當虛置閘極堆疊112經初始圖案化以 具有與剖線A-A’相交的側壁時,閘極間隔物220與第一層間介電層218的形成方法可如前述。在其他例子中,可在形成第一層間介電層218之後及/或置換虛置閘極堆疊112之後切割閘極結構,並可形成另一介電結構於閘極結構的切割處。在這些例子中,閘極間隔物220可分開,而位於閘極結構的側壁上的個別閘極間隔物與源極與汲極區221相鄰,且置極切割介電結構可位於閘極間隔物220與第一層間介電層218中與虛置閘極層108鄰接處,如第2B圖及後續對應的剖視圖所示。
在第3A與3B圖中,移除虛置閘極層108,並移除因移除虛置閘極層108而露出的界面介電層106。移除虛置閘極層108與對應的界面介電層106,可定義開口330於第一層間介電層218中的閘極間隔物220內。開口330可用於形成置換閘極結構於其中。虛置閘極層108與界面介電層106的移除方法可採用蝕刻製程。蝕刻製程可包含合適的濕蝕刻、乾(電漿)蝕刻、及/或其他製程。舉例來說,乾蝕刻製程可採用含氯氣體、含氟氣體、其他蝕刻氣體、或上述之組合。濕蝕刻溶液可包含氫氧化銨、氫氟酸或稀釋氫氟酸、去離子水、氫氧化四甲基銨、其他合適的溼蝕刻溶液、或上述之組合。如第3B圖所示,在移除虛置閘極層106與界面介電層106其對應的下方部份之後,可保留界面介電層106的部份於閘極間隔物220與鰭狀物102之間。
雖然未圖示,但界面介電層可沿著開口330露出的鰭狀物102之表面形成。在一例中,界面介電層可包含介電材料如氧化矽、氮氧化矽、氮碳氧化矽、氮碳化矽、或類似物。界面介電層的形成方法可為化學氧化、熱氧化、原子層沉積、 化學氣相沉積、及/或其他合適沉積技術。舉例來說,界面介電層可形成於鰭狀物102與後續形成的閘極介電層之間,且可沿著閘極間隔物220之間的開口330其側壁形成,並接觸閘極間隔物220。在一些例子中,並未移除虛置閘極層108下的界面介電層106,且界面介電層可形成此界面介電層以用於置換閘極結構。
在第4A與4B圖中,順應性地沉積閘極介電層302於鰭狀物102、隔離結構116、與閘極間隔物220的側壁上。閘極介電層302可包含高介電常數的介電材料,比如氧化鉿、氧化鋁、氧化鑭、氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯、氧化鋯矽、其他合適材料、或上述之組合。閘極介電層302的形成方法可為原子層沉積、電漿增強化學氣相沉積、分子束沉積、及/或其他沉積技術。
本發明實施例提供的濕式製程方法,可在移除高介電常數的閘極介電層上的矽蓋時,形成結構的蝕刻保護機制。濕式製程形成的蝕刻保護機制可減少半導體裝置結構101的損傷,其可改善電性並降低漏電。
在第4A與4B圖中,順應性地形成第一蓋層404於閘極介電層302上。第一蓋層404可包含鈦合金材料如氮化鈦、氮化鈦矽、及/或含矽或碳的摻雜元素。在一些例子中,第一蓋層404的沉積方法可為原子層沉積、電漿增強化學氣相沉積、分子束沉積、或其他沉積技術。可進行第一熱製程以退火第一蓋層404與閘極介電層302。舉例來說,第一熱製程可改善閘極介電層302的品質。舉例來說,第一退火製程可使形成於第一 蓋層404中的氫脫氣,使第一蓋層404緻密化,使第一蓋層404中產生反應以移除懸吊鍵,及/或使第一蓋層404結晶。第一退火製程可包含快速熱退火、爐退火、或類似方法。第一熱製程的溫度可介於約800℃至約950℃之間。第一熱製程可歷時約5秒至約10秒之間。第一熱製程可在氨氣環境下進行,且氨氣的流速可介於約5sccm至約50sccm之間。第一蓋層404可作為閘極介電層302的保護層。
此外,第4A與4B圖中的第二蓋層406順應性地形成於第一蓋層404上。第二蓋層406可為或包含矽(如非晶矽)。在一些例子中,第二蓋層的沉積方法為原子層沉積、電漿增強化學氣相沉積、分子束沉積、或其他沉積技術。第二蓋層406的厚度可介於約10Å至約60Å之間。可進行第二熱製程以退火第二蓋層406。第二熱製程亦可改善閘極介電層302的品質,及/或降低閘極介電層302的等效氧化物厚度。舉例來說,第二熱製程可自第一蓋層404、閘極介電層302、及/或界面介電層吸收氧,其可降低置換閘極結構的等效氧化物厚度。第二熱製程可包含快速熱退火、爐退火、或類似方法。第二熱製程的溫度可介於約800℃至約950℃之間。第二熱製程可歷時約5秒至約10秒之間。
在第5A與5B圖中,在第二熱製程之後,採用濕蝕刻製程移除第二蓋層406的步驟將形成第一自組裝單層508與第二自組裝單層510,其可作為蝕刻保護層。濕蝕刻製程採用包含稀釋於去離子水中的蝕刻劑、硼酸根螯合配位基、與磷酸根螯合配位基的溶液、在一些例子中,蝕刻劑可為或包含氫氧 化銨、氫氧化四甲基銨、或類似物。舉例來說,溶液可含濃度介於約1wt%至約6wt%之間的氫氧化銨,或可含濃度介於約1wt%至約2.3wt%之間的氫氧化四甲基銨。在一些例子中,溶液包含用於磷酸根螯合配位基的磷酸銨,並包含用於硼酸根螯合配位基的硼酸銨。溶液可含濃度介於約0.5wt%至約5wt%的磷酸銨,且可含濃度小於或等於約1wt%的硼酸銨緩衝劑。濕蝕刻製程可為將基板101浸入溶液中,及/或以溶液沖洗基板101。濕蝕刻製程的溫度可介於約室溫(如23℃)至約80℃之間。濕蝕刻製程可歷時約30秒至約90秒之間。
濕蝕刻製程移除第二蓋層406,並形成第一自組裝單層508於第一蓋層404上。第一自組裝單層508可包含來自濕蝕刻製程的磷酸根配位基與第一蓋層404其表面的鈦反應產物,如第6圖所示。第一蓋層404中的鈦與濕蝕刻溶液中的磷酸根配位基形成的單配位錯合物與雙配位錯合物,可作為第一自組裝單層508。第一自組裝單層508可作為第一蓋層404與後續形成的層狀物之間的黏著層。
弱位可能存在於置換閘極製程時形成的多種層狀物之中及/或之間,並存在於半導體的裝置結構101之其他構件。舉例來說,漏電點可穿過第一蓋層404、閘極介電層302、與界面介電層(若存在),以達閘極間隔物220、鰭狀物102、界面介電層106、及/或隔離結構116。如第5B圖所示,濕蝕刻製程亦形成第二自組裝單層510,其可作為蝕刻保護層以在後續製程中保護漏電點。舉例來說,第二自組裝單層510的形成方法,可為濕蝕刻製程所用的硼酸根配位基與界面介電層106 及/或閘極間隔物220的氧化矽之間的反應,如第7圖所示。氧化矽與濕蝕刻製程的溶液中的硼酸配位基反應形成硼酸矽錯合物,其形成第二自組裝單層510。在濕蝕刻製程中,硼酸根螯合配位基穿過第一蓋層404、閘極介電層302、與界面介電層的任何弱位,以與界面介電層106的氧化矽反應形成第二自組裝單層510。舉例來說,第二自組裝單層510可位於界面介電層106與隔離結構116之間的界面、界面介電層106與鰭狀物102之間的界面、及/或其他位置。
雖然此處所述的溶液包含用於磷酸根螯合配位基的磷酸銨與用於硼酸根螯合劑的硼酸銨緩衝劑,以於相同的濕蝕刻製程中形成第一自組裝單層508與第二自組裝單層510,但上述溶液亦可只包含磷酸銨或硼酸銨緩衝劑,以形成第一自組裝單層508與第二自組裝單層510中對應的一者。此外,可採用不同溶液,且每一溶液包含磷酸銨或硼酸銨緩衝劑的一或多者,以依序形成第一自組裝單層508與第二自組裝單層510。磷酸銨或硼酸銨緩衝劑可改用及/或額外加入其他化學劑,以形成第一自組裝單層508與第二自組裝單層510。
在濕蝕刻製程之後,可進行濕式沖洗以自開口330移除蝕刻殘餘物。舉例來說,濕式沖洗製程可採用含純去離子水、去離子的二氧化碳、及/或稀釋的氫氧化銨之溶液。沖洗製程的溫度可介於約20℃至約80℃之間。
在濕式沖洗之後,亦可進行乾燥製程以乾燥裝置結構101的表面。舉例來說,乾燥製程可包括在氮氣流存在下旋乾基板100。乾燥製程亦可為或額外包含以異丙醇沖洗裝置 結構101的表面。
本發明實施例提供另一濕式製程,以用於選擇性地圖案化層狀物(如阻障層及/或功函數調整層)。濕式製程可用於層狀物(如氮化鈦)的圖案化步驟,而不需另外沉積層狀物如硬遮罩以用於圖案化步驟。濕式製程在移除阻障層時,其採用的硬遮罩層厚度減少,且調整臨界電壓的容忍度增加。濕式製程方法可增加功函數調整層的沉積厚度,亦可避免損傷功函數調整層。
在第8A、8B、與8C圖中,阻障層812順應性地形成於第一自組裝單層508上(或形成於第一蓋層404上,若未先進行上述製程)。阻障層812可包含氮化物如氮化鈦、氮化鈮、氮化矽、氮化碳、及/或氮化鋁、鉭、鈦、或上述之組合。在一些例子中,阻障層812包含氮化鉭或氮化鈮。在一些例子中,阻障層812的沉積方法可為原子層沉積、電漿增強化學氣相沉積、分子束沉積、或其他沉積技術。
如第8A與8C圖所示,在形成阻障層812之後,形成圖案化遮罩結構於裝置結構101上。圖案化遮罩結構定義露出的區域818以用於濕式製程。圖案化遮罩結構覆蓋裝置結構101的部份,並露出裝置結構101的區域818。圖案化遮罩結構在濕式製程中可作為遮罩,以保護其覆蓋的裝置結構101的部份免於被濕式製程影響。
圖案化遮罩結構可包含光阻816位於底抗反射塗層814上。光阻816的圖案化方法可為光微影製程。底抗反射塗層814可為有機材料,其塗佈於基板100上並填入阻障層812上 的開口330。在圖案化光阻層816之後可移除底抗反射塗層814的部份,且其移除方法可為乾蝕刻製程。在第8A圖所示的例子中,圖案化遮罩結構保護一些鰭狀物102(如第8C圖所示),而不保護其他鰭狀物102(如第8B圖所示)。雖然第8A圖所示的例子中,圖案化遮罩結構保留露出的區域818,但應注意圖案化遮罩結構可形成於基板100的另一位置,端視不同圖案保留的不同露出部份而定。
接著如第9A、9B、與9C圖所示,可進行濕式製程以形成第三自組裝單層920於露出的區域818中的阻障層812上。圖案化的遮罩結構在濕式製程中可保護覆蓋區,而濕式製程可改質露出的區域818中的阻障層812以形成第三自組裝單層920。如第9C圖所示,濕式製程中的圖案化遮罩結構保護覆蓋區,且第三自組裝單層920未形成於覆蓋區中。
濕式製程可採用包含去離子水稀釋的過氧化氫與磷酸溶液。溶液的過氧化氫濃度介於約1wt%至約10wt%之間,而磷酸濃度介於約1wt%至約20wt%之間。溶液可包含其他酸介質,比如鹽酸及/或硫酸,以達所需的pH等級。濕式製程的溫度可介於約20℃至約80℃之間。
第三自組裝單層920的形成方法為濕蝕刻製程中的磷酸根連結。在一些例子中,阻障層812中的鈦與濕蝕刻製程中所用的磷酸反應形成第三自組裝單層920,如第10圖所示。在採用磷酸的此例中,第10圖所示的基團R可為氫。
在第11A、11B、與11C圖中,在形成第三自組裝單層920之後,可移除圖案化遮罩結構。舉例來說,底抗反射塗 層814與光阻816的移除方法可為電漿灰化製程。
在第12A、12B、與12C圖中,進行蝕刻製程以自區域818之外的區域移除阻障層812。在自區域818之外的區域移除阻障層812時,區域818中的阻障層812上的第三自組裝單層920可作為硬遮罩層。用於蝕刻阻障層812的蝕刻製程可採用含氯氣體,比如氯化鎢、氯化鈮、氯化鉬、類似物、或上述之組合。
在第12A、12B、與12C圖中,蝕刻製程可移除第三自組裝單層920未覆蓋的阻障層812,並形成微量金屬層1222於區域818中的第三自組裝單層920以及區域818之外的第一自組裝單層508上。微量金屬層1222可包含鎢、鈮、或鉬,端視移除第三自組裝單層920未覆蓋的阻障層812的蝕刻製程所用的含氯氣體而定。可移除微量金屬層1222並使調整臨界電壓的容忍度增加,如第13A、13B、與13C圖所示;或者可保留微量金屬層1222於後續完成的置換閘極結構中,以調整臨界電壓。
若移除微量金屬層1222,其移除方法可為濕式移除製程。移除微量金屬層1222的濕式移除製程可採用去離子水搭配臭氧,其中臭氧濃度可介於約1ppm至約80ppm之間。移除微量金屬層1222的濕式移除製程可額外採用(或改用)稀氫氧化銨,其中氫氧化銨對去離子水的混合比例介於1:5至約1:50之間。濕式移除製程的溫度可介於約室溫(約23℃)至約80℃之間。此外亦可進行前述的濕式沖洗與乾燥製程。
在第14A、14B、與14C圖中,移除第三自組裝單層920未覆蓋的阻障層812的部份,並移除微量金屬層1222(若進 行此步驟)之後,可順應性地形成功函數調整層1424於區域818中的第三自組裝單層920以及區域818之外的第一蓋層404上,或者順應性地形成功函數調整層1424於微量金屬層1222(若未移除)上。
功函數值與功函數調整層1424的材料組成相關。在製作功函數調整層時可採用不同材料,使置換閘極結構的功函數值依需求調整時具有更多彈性。在一些例子中,可沉積氮化鈦、氮化鎢、氮碳化鎢、氮化鋁、碳化鉭鋁、鈦鋁、氮化鈦鋁、氮化鎢鋁、或其他合適材料,以形成功函數調整層1424。功函數調整層1424的沉積方法可為原子層沉積、電漿增強化學氣相沉積、分子束沉積、及/或其他合適製程。舉例來說,增加或減少原子層沉積的循環次數,可改變或調整功函數調整層1424的厚度。
在不同鰭狀物102上需採用功函數調整層的不同組合,使具有這些鰭狀物102的裝置可具有不同臨界電壓。可沉積功函數調整層1424及/或任何額外的功函數調整層於不同的鰭狀物102上,再採用額外的微影、乾蝕刻、濕蝕刻、及/或灰化製程圖案化上述功函數調整層。更特別的是,可沉積任何功函數調整層,接著可採用第8A至8C圖到第13A至13C圖中圖案化阻障層812的前述製程,圖案化沉積的功函數調整層。
如第14A、14B、與14C圖所示,在形成功函數調整層1424與任何其他的功函數調整層之後,可將金屬充填層1426填入定義於第一層間介電層218中的閘極間隔物220內的開口330。金屬充填層1426可包含鎢、鈷、釕、鋁、銅、及/或其他 合適材料。金屬充填層1426的形成方法可為化學氣相沉積、物理氣相沉積、電鍍、及/或其他合適製程。
在第15A、15B、與15C圖中,可進行化學機械研磨製程,自置換閘極結構移除多餘材料,以平坦化裝置結構101的上表面。
接著可進行閘極切割製程,其蝕刻虛置閘極結構,以將虛置閘極結構物理地及電性地分隔成兩個或更多分開的虛置閘極結構。蝕刻形成的開口穿過原本形成的置換閘極結構以分隔置換閘極結構,且開口的深度可達隔離結構116。介電材料如氮化矽、氧化矽、氮氧化矽、類似物、或上述之組合可沉積至開口中並填滿開口。可進行化學機械研磨製程以移除多餘的介電材料,並形成閘極切割充填結構1527於切割置換閘極結構的開口中。閘極切割充填結構1527、置換閘極結構、與第一層間介電層218的上表面共平面。
如第15A、15B、與15C圖所示,可形成第二層間介電層1528於第一層間介電層218與置換閘極結構上。第二層間介電層1528可包含材料如四乙氧基矽烷氧化物、未摻雜的矽酸鹽玻璃、摻雜的氧化矽如硼磷矽酸鹽玻璃、摻雜氟的矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料,且其形成方法可為任何合適的沉積技術。
個別的開口可穿過第二層間介電層1528與第一層間介電層218,以達源極與汲極區221。開口的形成方法可採用合適的光微影與蝕刻製程。導電結構1530可形成於開口中以達磊晶的源極與汲極區221,如第15B與15C圖所示。導電結構1530 可包含沿著開口側壁(比如第一層間介電層218與第二層間介電層1528的側壁)形成的順應性黏著及/或阻障層,以及黏著及/或阻障層上的導電充填材料以填滿開口。舉例來說,黏著及/或阻障層可為或包含鈦、鈷、鎳、氮化鈦、氧化鈦、氮化鉭、氧化鉭、類似物、或上述之組合。導電充填材料可為或包括鎢、銅、鋁、金、銀、上述之合金、或類似物。矽化物區亦可形成於磊晶的源極與汲極區221之上側部份上。矽化物區的形成方法可為磊晶的源極與汲極區221與黏著及/或阻障層之間的反應。可進行退火以利磊晶的源極與汲極區221與黏著及/或阻障層之間的反應。在沉積用於導電結構1530的導電充填材料之後,可採用平坦化製程如化學機械研磨移除多餘材料,使導電結構1530的上表面與第二層間介電層1528的上表面共平面。導電結構可稱作接點、插塞、或類似物。
第16圖係一些實施例中,移除具有蝕刻保護機制的蓋層之例示性方法1600其流程圖。如第16圖所示,步驟1602形成第一蓋層404於開口330中的閘極介電層302上,而開口330位於移除虛置閘極堆疊112的位置,如第4A與4B圖所示。步驟1604形成第二蓋層406於第一蓋層404上,如第4A與4B圖所示。步驟1606採用含磷酸根螯合配位基與硼酸根螯合配位基的蝕刻溶液移除第二蓋層406,如第5A與5B圖所示。步驟1608之蝕刻溶液的反應形成第一自組裝單層508於第一蓋層404上,且第一自組裝單層508包含磷酸根配位基。步驟1610之蝕刻溶液的反應形成第二自組裝單層510於閘極間隔物220下,且第二自組裝單層510包含硼酸根配位基。
第17圖係一些實施例中,採用濕式製程輔助方法以圖案化阻障層之例示性的方法1700其流程圖。雖然下述內容中的方法1700為圖案化阻障層,但此方法亦可用於圖案化功函數調整層如前述。方法1700可加入上述移除第二蓋層406的方法1600,或者與方法1600分開。
如第17圖所示,步驟1702形成第一蓋層404(及/或第一自組裝單層508)於移除虛置閘極堆疊所形成的凹陷中的閘極介電層上,如第4A與4B圖所示(及/或如第5A與5B圖所示)。在步驟1704中,形成阻障層812於第一蓋層404(及/或第一自組裝單層508)上,如第8A、8B、與8C圖所示。在步驟1706中,形成硬遮罩於阻障層812的部份上,如第8A至8C圖與第9A至9C圖所示。步驟1708形成圖案化遮罩結構(比如包含底抗反射塗層814與光阻816)於阻障層812上,其露出阻障層812的部份(如區域818)。步驟1710進行濕式製程。步驟1708與1710可形成硬遮罩。在阻障層812的露出部份(如區域818中)上進行濕式製程,以形成第三自組裝單層920如硬遮罩,其具有磷酸根連結至阻障層812的露出部份上。步驟1712在硬遮罩(第三自組裝單層920)位於阻障層812的部份上時,蝕刻阻障層812,如第11A、11B、與11C圖所示。
一或多個實施例可對半導體裝置與其形成方法提供許多優點,但其不侷限於此。舉例來說,實施例可在置換閘極製程中,提供圖案化層狀物的方法。包含磷酸與硼酸的蝕刻溶液在移除高介電常數的閘極介電層上的蓋層時,可用以形成不同的自組裝單層。
如此一來,濕式製程可減少損傷半導體裝置結構、改善電性、並降低漏電。另一濕式製程不需另外沉積層狀物如硬遮罩,即可圖案化阻障層或功函數調整層。如此一來,濕式製程方法可採用厚度縮小的硬遮罩層以移除阻障層,其可增加功函數調整層的沉積厚度,使調整臨界電壓的容忍度增加,並可避免損傷阻障層及/或功函數調整層。
在一實施例中,半導體結構包括:鰭狀物位於基板上;閘極結構位於鰭狀物上並沿著鰭狀物的側壁;閘極間隔物,位於鰭狀物上並沿著閘極結構的側壁;以及第一自組裝單層,位於鰭狀物與閘極間隔物之間,且第一自組裝單層含硼。閘極結構包括:閘極介電層位於鰭狀物上並沿著鰭狀物的側壁;蓋層位於閘極介電層上:以及閘極金屬充填層位於蓋層上。
在一些實施例中,上述半導體結構的第一自組裝單層包含硼酸根配位基與閘極間隔物與鰭狀物之間的材料表面反應形成的產物。
在一些實施例中,上述半導體結構更包括氧化物層於閘極間隔物與鰭狀物之間,其中第一自組裝單層所含的物種包含硼與氧化物層反應形成的產物。
在一些實施例中,上述半導體結構的閘極結構更包括第二自組裝單層於蓋層上,閘極金屬充填層位於第二自組裝單層上,且第二自組裝單層含磷。
在一些實施例中,上述半導體結構的第二自組裝單層包含磷酸根配位基與蓋層表面反應形成的產物。
在一些實施例中,上述半導體結構的蓋層包括 鈦,而第二自組裝單層所含的物種包含磷與蓋層的鈦反應形成的產物。
另一實施例為用於半導體製程的方法,包括形成第一蓋層於基板的鰭狀物上的閘極介電層上。閘極間隔物位於鰭狀物上以橫向鄰接閘極介電層。形成第二蓋層於第一蓋層上。採用濕蝕刻溶液移第二蓋層。濕蝕刻溶液包括含硼酸根配位基的物種。
在一些實施例中,上述方法在形成第二蓋層之後以及移除第二蓋層之前,更包括進行退火製程。
在一些實施例中,上述方法的含磷酸根配位基的物種為硼酸銨緩衝劑。
在一些實施例中,上述方法在移除第二蓋層時,硼酸根配位基與閘極間隔物及鰭狀物之間的材料反應,以形成單層。
在一些實施例中,上述方法的濕蝕刻溶液亦包括含磷酸根配位基的物種。
在一些實施例中,上述方法的含磷酸根配位基的物種為磷酸銨。
在一些實施例中,上述方法在移除第二蓋層時,磷酸根配位基與第一蓋層反應以形成單層。
在另一實施例中,半導體結構包括鰭狀物於基板上,以及閘極結構於鰭狀物上。閘極結構包括閘極介電層、金屬層於閘極介電層上、含磷的硬遮罩單層於金屬層上、以及閘極金屬充填層於硬遮罩單層上。
在一些實施例中,上述半導體結構的閘極結構更包含微量金屬層於硬遮罩單層上,且閘極金屬充填層位於微量金屬層上,其中微量金屬層包含鎢、鈮、鉬、或上述之組合。
在一些實施例中,上述半導體結構的硬遮罩單層包含磷連結。
又一實施例為用於半導體製程的方法,包括形成金屬層於基板上的閘極介電層上。將金屬層的第一部份暴露至包括含磷物種的濕式溶液,以形成含磷的硬遮罩單層於金屬層的第一部份上。移除金屬層的第二部份。在移除金屬層的第二部份時,硬遮罩單層避免移除金屬層的第一部份。
在一些實施例中,上述方法採用氣體移除金屬層的第二部份,且氣體包括氯化鎢、氯化鈮、氯化鉬、或上述之組合。
在一些實施例中,上述方法移除金屬層的第二部份之步驟,形成微量金屬層於金屬層的第一部份上。
在一些實施例中,上述方法的濕式溶液包括磷酸,且含磷物種與金屬層的磷酸根連結形成硬遮罩單層。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明實施例作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之申請專利範圍的精神與範疇的前提下進行改變、替換、或更動。

Claims (1)

  1. 一種半導體結構,包括:一鰭狀物,位於一基板上;一閘極結構,位於該鰭狀物上並沿著該鰭狀物的側壁,其中該閘極結構包括:一閘極介電層,位於該鰭狀物上並沿著該鰭狀物的側壁;一蓋層,位於該閘極介電層上:以及一閘極金屬充填層,位於該蓋層上;一閘極間隔物,位於該鰭狀物上並沿著該閘極結構的側壁;以及一第一自組裝單層,位於該鰭狀物與該閘極間隔物之間,且該第一自組裝單層含硼。
TW107122586A 2018-03-01 2018-06-29 半導體結構 TW201937609A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/909,847 US10541317B2 (en) 2018-03-01 2018-03-01 Method of forming a metal gate using monolayers
US15/909,847 2018-03-01

Publications (1)

Publication Number Publication Date
TW201937609A true TW201937609A (zh) 2019-09-16

Family

ID=67768748

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107122586A TW201937609A (zh) 2018-03-01 2018-06-29 半導體結構

Country Status (3)

Country Link
US (3) US10541317B2 (zh)
CN (1) CN110224026A (zh)
TW (1) TW201937609A (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US11114549B2 (en) * 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
KR20190110845A (ko) * 2018-03-21 2019-10-01 삼성전자주식회사 반도체 소자
US10665545B2 (en) * 2018-09-19 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices, semiconductor packages and methods of forming the same
JP7449127B2 (ja) * 2020-03-11 2024-03-13 株式会社Screenホールディングス 基板処理液、基板処理方法および基板処理装置
US11735484B2 (en) * 2020-09-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Post gate dielectric processing for semiconductor device fabrication
US11961925B2 (en) 2020-10-30 2024-04-16 Alliance For Sustainable Energy, Llc Engineered nanostructured passivated contacts and method of making the same
WO2023183526A1 (en) * 2022-03-24 2023-09-28 Massachusetts Institute Of Technology Controlled delamination through surface engineering for nonplanar fabrication

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008135453A (ja) * 2006-11-27 2008-06-12 Fujimi Inc 研磨用組成物及び研磨方法
US9431304B2 (en) * 2014-12-22 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gates
US10050147B2 (en) * 2015-07-24 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2017099770A1 (en) * 2015-12-09 2017-06-15 Intel Corporation Semiconductor devices having ruthenium phosphorus thin films
CN107805309B (zh) * 2016-09-09 2021-03-19 翁秋梅 一种非共价交联结构的动态聚合物及其应用

Also Published As

Publication number Publication date
US20220173226A1 (en) 2022-06-02
US20200152772A1 (en) 2020-05-14
US10541317B2 (en) 2020-01-21
CN110224026A (zh) 2019-09-10
US12015077B2 (en) 2024-06-18
US11257924B2 (en) 2022-02-22
US20190273149A1 (en) 2019-09-05

Similar Documents

Publication Publication Date Title
TW201937609A (zh) 半導體結構
CN109841563B (zh) 选择性覆盖工艺和由此形成的结构
TWI719518B (zh) 半導體裝置與其形成方法
TWI724569B (zh) 半導體裝置及其形成方法
TWI728208B (zh) 半導體裝置及其形成方法
TWI654671B (zh) 半導體裝置與其製作方法
US11114347B2 (en) Self-protective layer formed on high-k dielectric layers with different materials
KR102058222B1 (ko) 상이한 물질을 가진 하이 k 유전체 층 상에 형성된 자기 보호 층
US10164067B2 (en) Method of fabricating a semiconductor device
KR20190064375A (ko) 도전성 피처 형성 및 구조물
TW202011518A (zh) 半導體裝置的形成方法
TW201916257A (zh) 半導體裝置與其形成方法
TW202213789A (zh) 半導體結構之製造方法
TW202017057A (zh) 鰭狀場效電晶體裝置
TW202121508A (zh) 半導體裝置及其製造方法
CN110875392B (zh) FinFET器件及其形成方法
CN109599339A (zh) 鳍式场效应晶体管器件及其形成方法
TW201916116A (zh) 半導體裝置及其製造方法
TW202129765A (zh) 半導體裝置的形成方法
TW202315116A (zh) 半導體裝置
KR20230109536A (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
TWI759094B (zh) 半導體裝置之製造方法
CN114334958A (zh) 半导体结构与其形成方法
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
TWI845103B (zh) 半導體裝置結構之形成方法