TWI728208B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI728208B
TWI728208B TW106140925A TW106140925A TWI728208B TW I728208 B TWI728208 B TW I728208B TW 106140925 A TW106140925 A TW 106140925A TW 106140925 A TW106140925 A TW 106140925A TW I728208 B TWI728208 B TW I728208B
Authority
TW
Taiwan
Prior art keywords
layer
metal
gate dielectric
work function
gate
Prior art date
Application number
TW106140925A
Other languages
English (en)
Other versions
TW201916366A (zh
Inventor
黃如立
莊英良
葉明熙
黃國彬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201916366A publication Critical patent/TW201916366A/zh
Application granted granted Critical
Publication of TWI728208B publication Critical patent/TWI728208B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al

Abstract

根據一些實施例,本發明提供一種半導體裝置,其具有可調諧功函數值之金屬閘極結構。在一範例中,第一閘極結構及第二閘極結構形成於基底上,其中第一閘極結構包括具有第一材料的第一功函數金屬,且第二閘極結構包括具有第二材料的第二功函數金屬,第一材料不同於第二材料,其中第一閘極結構更包括:閘極介電層;具有金屬磷酸鹽的自保護層;及位於自保護層上的第二功函數金屬。

Description

半導體裝置及其形成方法
本發明實施例係關於一種半導體裝置及其形成方法。
可靠地生產次半微米(sub-half micron)及更小的特徵係半導體裝置之下一代超大型積體電路(very large scale integration,VLSI)和極大型積體電路(ultra large-scale integration,ULSI)的關鍵技術挑戰之一。然而,隨著電路技術之限制的推進,超大型積體電路(VLSI)和極大型積體電路(ULSI)技術的縮小尺寸對處理能力具有更高的要求。在基底上可靠地形成閘極結構對於超大型積體電路(VLSI)和極大型積體電路(ULSI)的成功以及對於持續努力提高個別基底和晶粒的電路密度和品質非常重要。
隨著半導體產業已經進入奈米技術製程節點以追求更高的裝置密度、更高的性能和更低的成本,來自製造和設計的挑戰已造成三維設計的發展,例如鰭式場效電晶體(fin field effect transistors,FinFET)。一般的鰭式場效電晶體(FinFET)藉由例如蝕刻至基底的矽層之中,從而被製造成具有從基底延伸的鰭結構。鰭式場效電晶體(FinFET)的通道形成在垂直的鰭之中。提供閘極結構於鰭結構上方(例如:上覆 並遮蓋)。在通道上具有閘極結構是有益的,從而允許閘極結構周圍的溝道之閘極控制。鰭式場效電晶體(FinFET)裝置提供許多優點,包括減少短通道效應及增加電流。
隨著裝置尺寸持續微縮化(scaling down),可以藉由使用金屬閘極電極而非典型的多晶矽閘極電極來改善鰭式場效電晶體(FinFET)裝置性能。形成金屬閘極堆疊的其中一製程係形成替代閘極製程(亦稱為“後閘極(gate-last)”製程),其中最終閘極堆疊係“最後”製造的。然而,在具有複雜表面拓撲(topology)的高級製程節點(advanced process nodes)中執行此類積體電路(IC)製造製程存在挑戰性。在閘極製造期間對於沉積和圖案化製程之不精確及不適當的控制可能使裝置結構的電氣性能產生不利地品質下降。
因此,需要製造具有期望的電氣性能及良好界面控制的裝置結構。
根據一實施例,本發明提供一種半導體裝置,包括:形成於基底上之第一閘極結構及第二閘極結構;其中第一閘極結構包括具有第一材料的第一功函數金屬,且第二閘極結構包括具有第二材料的第二功函數金屬,第一材料不同於第二材料,其中第一閘極結構更包括:閘極介電層;具有金屬磷酸鹽的自保護層;及位於自保護層上的第二功函數金屬。
根據另一實施例,本發明提供一種半導體裝置,包括:形成於基底上之閘極結構,其中閘極結構包括:閘極介電層;位於閘極介電層上之包含金屬磷酸鹽的自保護層,其中 金屬磷酸鹽與閘極介電層具有共同的金屬元素;及形成於自保護層上之功函數金屬。
根據又一實施例,本發明提供一種半導體裝置的形成方法,包括:使用蝕刻溶液將設置於基底上的閘極介電層上之第一功函數金屬圖案化,其中蝕刻溶液包括磷酸及酸溶液中的過氧化氫;以及一旦從基底移除第一功函數金屬,則藉由使閘極介電層暴露於蝕刻溶液以形成自保護層於閘極介電層上。
100:製程
102:步驟
104:步驟
106:步驟
108:步驟
110:步驟
112:步驟
114:步驟
116:步驟
118:步驟
120:步驟
122:步驟
124:步驟
126:步驟
128:步驟
130:步驟
200:基底
201:半導體裝置結構
202:鰭結構
206:閘極介電層
208:虛設閘極層
210:硬罩幕層
212:虛設閘極結構
214:凹槽
216:隔離結構
218:層間介電質(ILD)
220:間隔物特徵
222:頂表面
224:頂表面
230:開口
232:表面
233:表面
240:界面層
242:閘極介電層
244:第一功函數金屬
248:第一罩幕結構
250a:p型裝置區
250b:n型裝置區
252:底部抗反射塗層(BARC)
254:光阻
256:表面
257:自保護層
261:金屬阻障層
265:第二功函數金屬
276:閘極電極金屬
280:金屬閘極結構
291:第二罩幕結構
292:光阻
293:底部抗反射塗層(BARC)
A-A':線
以下將配合所附圖式詳述本發明之實施例,應注意的是,依照工業上的標準實施,以下圖示並未按照比例繪製,事實上,可能任意的放大或縮小元件的尺寸以便清楚表現出本發明的特徵。而在說明書及圖式中,除了特別說明外,同樣或類似的元件將以類似的符號表示。
第1A-1B圖係根據一些實施例,用於製造裝置結構於基底上之示例性製程的流程圖。
第2A-2C1圖係根據一些實施例,半導體裝置結構在第1圖之不同製造階段的透視圖。
第2C2-2O圖係根據一些實施例,半導體裝置結構在第1圖之不同製造階段的剖面圖。
第3A-3C圖繪示在第1圖所示之金屬圖案化製程期間之表面結構反應的不同範例。
以下提供許多不同的實施方法或是例子來實行各 種實施例之不同特徵。以下描述具體的元件及其排列的例子以闡述本發明。當然這些僅是例子且不該以此限定本發明的範圍。例如,元件的尺寸並不限定於所揭露的範圍或數值,而是取決於製程條件及/或裝置所期望的性質。此外,在描述中提及第一個元件形成於第二個元件上時,其可以包括第一個元件與第二個元件直接接觸的實施例,也可以包括有其他元件形成於第一個與第二個元件之間的實施例,其中第一個元件與第二個元件並未直接接觸。為簡化及清楚起見,各種特徵可任意繪製成不同尺寸。
此外,其中可能用到與空間相關的用詞,像是“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些關係詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係。這些空間關係詞包括使用中或操作中的裝置之不同方位,以及圖示中所描述的方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則其中使用的空間相關形容詞也可相同地照著解釋。
本發明實施例大體而言係關於半導體裝置,更具體地係關於形成在半導體裝置中的替代閘極。本發明實施例提供一種保護層的結構及其形成方法,該保護層在替代閘極製造製程中保護形成在閘極結構中的閘極介電層。在此所述的一些範例顯示於鰭式場效電晶體(FinFET)的上下文中。在其他實施方式中,根據一些實施例,可在垂直閘極全環(vertical,gate all around,VGAA)裝置、水平閘極全環(horizontal,gate all around,HGAA)裝置或其他裝置中執行替代閘極及製程。此 外,可在任何高級技術節點(advanced technology nodes)中執行實施例。
在用於形成電晶體的金屬閘極之替代閘極製程中,形成虛設閘極堆疊於基底上方,以作為隨後形成於實際閘極堆疊上的佔位符(placeholder)。形成間隔物結構在虛設閘極堆疊的周圍。在形成相鄰於間隔物結構的源極/汲極特徵和層間介電質(interlayer dielectric,ILD)之後,移除虛設閘極堆疊,並留下被間隔物結構和層間介電質(ILD)所包圍的開口。接著,形成金屬閘極於由間隔物結構和層間介電質(ILD)所定義的開口中。
金屬閘極結構包括諸如高介電常數(high-k)介電層的閘極介電層、可選的阻障層、功函數金屬層和閘極金屬電極。可使用多重沉積和圖案化製程以形成功函數金屬層,例如:微調電晶體的閾值電壓(threshold voltage,Vt)。在一些實施例中,功函數金屬層可對不同類型的電晶體使用不同的材料,例如:p型鰭式場效電晶體(FinFET)或n型鰭式場效電晶體(FinFET),以根據需要提高裝置的電氣性能。可在圖案化製程期間可選地使用阻障層以保護閘極介電層。然而,在一些清潔及/或蝕刻製程中可能會不慎地蝕刻閘極介電層和可選的阻障層。因此,閘極介電層和可選的阻障層可能會在金屬閘極結構中失去其效用和功能。本發明實施例可以解決上述問題。
第1圖繪示用於形成半導體裝置結構之製程100的示例性流程圖,例如第2A-2O圖所示之裝置結構201。第2A-2C1圖及第2C2-2O圖分別係根據一些實施例,對應於製程100的各 個階段之部分基底的透視圖及示意性剖面圖。應注意的是,製程100可用於形成任何合適的結構,包括第2A-2O圖所示之半導體裝置結構201或在此未呈現的其它半導體結構。
製程100開始於步驟102,如第2A圖所示,提供具有複數個鰭結構202形成在其上的基底200。
基底200可以是或包括塊狀半導體基底、絕緣體上半導體(semiconductor-on-insulator,SOI)基底或其它基底。基底200的半導體材料可包括或選自矽(例如:像是Si<100>或Si<111>的矽晶體)、矽鍺、鍺、砷化鎵或其它半導體材料中的至少一種材料。半導體材料可為摻雜或未摻雜的,例如:具有p型或n型摻雜劑。在將絕緣體上半導體(SOI)結構用於基底200的一些實施例中,基底200可包括設置在絕緣層上的半導體材料,其可為設置在半導體基底中的埋藏絕緣體,或其可為玻璃或藍寶石基底。在本文所述的實施例中,基底200為含矽材料,例如晶體矽基底。此外,基底200不限於任何特定的尺寸、形狀或材料。基底200可為具有200mm直徑、300mm直徑或其它直徑(例如:450mm等)之球形/圓形基底。基底200亦可為任何多邊形、正方形、矩形、弧形或其它非圓形的工件,例如:根據需要的多邊形基底。
每個鰭結構202提供形成有一或多個裝置的主動區。使用包括遮罩、微影和/或蝕刻製程之合適的製程來製造鰭結構202。在一個範例中,形成罩幕層使其上覆於基底200。微影製程包括形成上覆於罩幕層的光阻層(光阻)、將光阻層暴露於圖案、實行後曝光烘烤製程以及將光阻層顯影以使光阻 層圖案化。使用合適的蝕刻製程將光阻層的圖案轉移到罩幕層以形成遮罩元件。接著,可使用遮罩元件來保護基底200的區域,同時蝕刻製程形成凹槽214於基底中,留下延伸的鰭,例如鰭結構202。可使用反應性離子蝕刻(reactive ion etch,RIE)和/或其它合適的方法來蝕刻凹槽214。可利用在基底上形成鰭結構的方法之許多其它實施例。
在一實施例中,鰭結構202的寬度大約為10奈米(nm),高度大約為10nm至60nm,例如約為50nm高。然而,應當理解,其他尺寸可用於鰭結構202。在一個範例中,鰭結構202包括矽材料或其它元素半導體(例如鍺),包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦之化合物半導體。鰭結構202亦可為包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP或其組合之合金半導體。此外,鰭結構202可根據需要使用n型及/或p型摻雜劑進行摻雜。
如上所述,在一個範例中,可藉由蝕刻基底200的一部分而形成複數個鰭結構202,以形成凹槽214於基底200中。接著凹槽214可填充有隔離材料,使隔離材料凹陷或回蝕隔離材料以形成隔離結構216。用於隔離結構216和/或鰭結構202的其它製造技術是可能的。隔離結構216可隔離基底200的一些區域,例如:鰭結構202中的主動區。在一個範例中,隔離結構216可由淺溝槽隔離(shallow trench isolation,STI)結構和/或其它合適的隔離結構。淺溝槽隔離(STI)結構可由氧化矽、氮化矽、氮氧化矽、摻雜氟化物的矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、低介電常數(low-k)介 電材料和/或其它合適的絕緣材料所形成。淺溝槽隔離(STI)結構可包括多層結構,例如具有一或多個襯層。
虛設閘極結構212形成在鰭結構202上方。在第2A圖所示的範例中,虛設閘極結構212包括閘極介電層206、虛設閘極層208和硬罩幕層210。應注意的是,虛設閘極結構212可更包括蓋層和/或其它合適的層。可藉由合適的沉積技術形成虛設閘極結構212中的各個層,並藉由合適的微影和蝕刻技術進行圖案化。虛設閘極結構212於鰭結構202的二或三個面上接合(engages)鰭結構202。在此所述之術語“虛設”係指將於稍後階段中被移除的犧牲結構,且將在替代閘極製程中被替換成實際閘極結構,例如:高介電常數(high-k)金屬閘極結構。替代閘極製程係指在整個閘極製造製程的後期製造閘極結構。閘極介電層206可為介電氧化層。舉例而言,可藉由化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)和/或其它合適的方法以形成介電氧化層。虛設閘極層208可為多晶矽層或其它合適的層。舉例而言,可藉由合適的沉積製程(例如:低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)和電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD))以形成虛設閘極層208。硬罩幕層210可為適合於將虛設閘極結構212圖案化成所需的特徵/尺寸於基板上之任何材料。
在一實施例中,先沉積虛設閘極結構212的各個層以作為毯覆層。接著,藉由包括微影和蝕刻之製程將毯覆層圖 案化,移除部分的毯覆層並使剩餘的部分維持在隔離結構216和鰭結構202上以形成虛設閘極結構212。
在一個範例中,半導體裝置結構201包括p型裝置區250a和n型裝置區250b。可在p型裝置區250a中形成一或多個p型裝置,例如p型鰭式場效電晶體(FinFET),且可在n型裝置區250b中形成一或多個n型裝置,例如n型鰭式場效電晶體(FinFET)。半導體裝置201可包括在積體電路(IC)中,例如微處理器、記憶裝置和/或其他積體電路(IC)。
在步驟104中,如第2B圖所示,形成間隔物特徵220於虛設閘極結構212的側壁上,接著,形成層間介電質(interlayer dielectric,ILD)218於間隔物特徵220上。間隔物特徵220包括不同於用於虛設閘極結構212的材料。在一實施例中,間隔物特徵220包括介電材料,例如:氮化矽或氮氧化矽。在一個範例中,間隔物特徵220可為單層或多層。在一實施例中,在形成虛設閘極結構212之後,藉由在裝置結構201上方共形地沉積間隔物材料以形成一或多個間隔物層。隨後,如第2B圖所示,實行異向性蝕刻製程以移除部分的間隔物層,從而形成間隔物特徵220。
在形成間隔物特徵220之後,實行一或多個磊晶生長製程以生長矽特徵,從而形成源極/汲極區(未示出)。磊晶生長製程可利用用於形成p型裝置區250a的p型摻雜劑或用於形成n型裝置區250b的n型摻雜劑來原位(in-situ)摻雜生長的矽。
隨後,形成層間介電質(ILD)218於基底200和間 隔物特徵220上。在一些實施例中,裝置201可更包括位於層間介電質(ILD)218下方以及基底200和間隔物特徵220上方的接觸蝕刻停止層(未示出)。層間介電質(ILD)218可包括諸如以下之材料:四乙基正矽酸鹽(tetraethylorthosilicate,TEOS)氧化物、未摻雜的矽酸鹽玻璃、摻雜的氧化矽(例如:硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融二氧化矽玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽玻璃(boron doped silicon glass,BSG))和/或其它合適的介電材料。可藉由電漿增強化學氣相沉積(PECVD)製程、高密度電漿化學氣相沉積(High Density Plasma Chemical Vapor Deposition,HDP-CVD)製程或其他合適的沉積技術來沉積層間介電質(ILD)218。在一實施例中,藉由化學氣相沉積(CVD)製程形成層間介電質(ILD)218以填充凹槽214。如第2B圖所示,在各種沉積製程之後,進行化學機械平坦化(chemical mechanical planarization,CMP)製程以將層間介電質(ILD)218平坦化,並定義與虛設閘極結構212的頂表面222大抵上共平面的頂表面224,虛設閘極結構212暴露在用於隨後的製造步驟之基底200上。
在步驟106中,如第2C1圖所示,從基底200移除虛設閘極結構212以定義層間介電質(ILD)218中的開口230。在第2C1圖所示的實施例中,開口230暴露隔離結構216的表面232。第2C2圖繪示沿著包含層間介電質(ILD)218所定義的開口230的線A-A'所切割的剖面圖,以便於描述裝置製造製程。
開口230允許在其中形成閘極結構。儘管第2C2所示的範例 暴露鰭結構202的表面233,但應注意的是,所暴露的表面亦可包括與基底不同的結構(例如:隔離結構216)或與基底200不同的其它位置。
可使用蝕刻製程移除虛設閘極結構212。蝕刻製程可包括合適的濕蝕刻、乾(電漿)蝕刻和/或其它製程。舉例而言,乾蝕刻製程可使用含氯氣體、含氟氣體、其它蝕刻氣體或上述之組合。濕蝕刻溶液可包括NH4OH、氫氟酸(hydrofluoric acid,HF)或稀釋的氫氟酸(HF)、去離子水、四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、其它合適的濕蝕刻溶液或上述之組合。
在步驟108中,如第2D圖所示,在由間隔物特徵220所定義的開口230中依序地形成界面層240、閘極介電層242和第一功函數金屬244。在一個範例中,界面層240可包括諸如氧化矽(SiO2)或氮氧化矽(SiON)等之介電材料。可藉由化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(CVD)及/或其它合適的介電質以形成界面層240。
閘極介電層242可包括高介電常數材料,例如:氧化鉿(HfO2)、Al2O3、氧化鑭(LaO2)、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、上述之組合或其它合適的材料。可藉由原子層沉積(ALD)和/或其他合適的方法形成閘極介電層242。應注意的是,當不存在界面層240時,閘極介電層242可直接形成在基底200上(例如,在鰭結構202上)。
在形成閘極介電層242之後,形成第一功函數金屬 244於閘極介電層242上。第一功函數金屬244係形成用以調諧(tuning)裝置的功函數。第一功函金屬244可為p型裝置區250a中用於p型鰭式場效電晶體(FinFET)裝置的p型功函數材料或者n型裝置區中250b用於n型鰭式場效電晶體(FinFET)裝置的n型功函數材料。p型功函數材料的合適範例(其可具有4.8eV至5.2eV的功函數)包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其它合適的p型功函數材料或上述之組合;且n型功函數材料的合適範例(其可具有3.9eV至4.3eV的功函數)包括Ti、Ag、TaAl、TaAlC、HfAl、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其它合適的n型功函數材料或上述之組合。
功函數值與第一功函數金屬244的材料組成相關。選擇第一功函數金屬244的材料以調諧其功函數值,從而在將形成於相應區域的裝置中達到期望的閾值電壓(threshold voltage,Vt)。第一功函數金屬244提供均勻的閾值電壓(Vt)。可藉由化學氣相沉積(CVD)、原子層沉積(ALD)和/或其它合適的方法沉積第一功函數金屬244。在此所示的範例中,使用原子層沉積(ALD)製程形成第一功函數金屬244。
可藉由在原子層沉積(ALD)製程期間改變製程參數(例如:沉積時間、前驅物脈衝的數量、脈衝頻率、基底溫度、壓力等)以改變及調整第一功函數金屬層244的厚度。在一個範例中,藉由改變用於沉積第一功函數金屬層244之原子層沉積(ALD)製程的沉積時間以調整第一功函數金屬層244的厚度。
在步驟110中,如第2E圖所示,第一罩幕結構248設置在n型裝置區250b上並填充基底200之裝置結構201的n型裝置區250b中的開口230。第一罩幕結構248覆蓋裝置結構201的n型裝置區250b,並暴露裝置結構201的p型裝置區250a以用於進一步的蝕刻。第一罩幕結構248在蝕刻/圖案化製程期間作為罩幕,以保護由第一罩幕結構248所覆蓋的結構免於在蝕刻/圖案化製程期間受到損壞。
第一罩幕結構248可包括設置在底部抗反射塗層(bottom anti-reflective coating,BARC)252上的光阻254。可以藉由微影製程將光阻254圖案化使其具有可作為罩幕之期望的尺寸以轉移特徵至基底200上。底部抗反射塗層(BARC)252可為塗佈到基底200上的有機材料,並填充第2E圖所示之n型裝置區250b的開口230。儘管第2E圖所示的範例顯示第一罩幕結構248形成並填充於n型裝置區250b所定義的開口230中,但應注意的是,第一罩幕結構248可形成在基底的其他部分,例如:p型裝置區250a。
在步驟112中,如第2F圖所示,進行蝕刻製程以從基底200之p型裝置區250a的開口230移除第一功函數金屬244。蝕刻製程可為藉由蝕刻溶液浸漬或浸泡基板200所進行之濕蝕刻製程。在另一個範例中,利用諸如氣相或電漿製程之乾蝕刻製程以移除p型裝置區250a中的第一功函數金屬244。在又一個範例中,利用濕蝕刻製程及乾蝕刻製程的組合以移除p型裝置區域250a中的第一功函數金屬244。在具體的範例中,在步驟112中,從p型裝置區250a的開口230移除第一功函數金屬 244係藉由將基底浸入(dipping)、浸漬(immersing)或浸泡(soaking)在濕蝕刻槽內的蝕刻溶液中所進行之濕蝕刻製程。蝕刻溶液可為pH值在預定範圍內之鹼性、中性或酸性溶液。蝕刻溶液的選擇係基於欲從基底200所移除之第一功函數金屬244的材料類型。
在一實施例中,蝕刻溶液包括磷酸(或正磷酸),例如:在水溶液(例如:H2O)中85重量百分比(85wt%)的H3PO4。進一步地,在此實施例中,蝕刻溶液可包括磷酸與其它成分(例如:過氧化氫(H2O2))的混合物。亦可使用其它蝕刻劑,例如:氫氟酸(HF)、鹽酸(HCl)和/或硫酸(H2SO4)。在一個範例中,蝕刻溶液之去離子水中磷酸的比例為約1:5至約1:50。
在一個範例中,蝕刻溶液係與來自第一功函數金屬244的材料反應之酸溶液。酸溶液蝕刻第一功函數金屬244,例如:含金屬材料。可添加其他蝕刻劑,例如:氫氟酸(HF)、鹽酸(HCl)和/或硫酸(H2SO4),以對給定濃度提供不同的pH值。這些蝕刻劑可用於維持期望的pH值及/或幫助溶解在蝕刻溶液中之化合物的解離。可以將蝕刻溶液的pH值控制在小於或約等於6,例如小於4,或更特別地,例如小於2。在具體的實例中,蝕刻溶液的pH值小於1。在一個範例中,可將蝕刻製程維持在約20至約80度之攝氏溫度。
在步驟114中,如第2G圖所示,一旦移除p型裝置區250a中的第一功函數金屬244,當蝕刻溶液遇到閘極介電層242的表面256時,同時形成自保護層(self-protective layer) 257於閘極介電層242上。由於磷酸螯合,自保護層257形成在閘極介電層242的表面256上(包括底部和側壁部分的表面)。由選擇用於閘極介電層242之來自高介電常數材料的金屬元素(例如:Hf、Zr或Ta等)與來自磷酸的磷元素反應以形成自保護層257。保護層包括金屬磷酸鹽(例如:含金屬磷酸螯合物或含金屬錯合劑),以保護閘極介電層242的表面256免於受到進一步蝕刻。當閘極介質層242的表面256暴露時,嵌入在閘極介電層242中的金屬元素也同時暴露出來,並觸發嵌入在閘極介電層242中的金屬元素與來自磷酸的磷元素發生化學反應,以形成作為自保護層257之金屬磷酸鹽。金屬磷酸鹽的一些金屬元素嵌入在閘極介電層242中,而金屬磷酸鹽的一些金屬元素形成為自保護層257。自保護層257進一步防止磷酸穿透並蝕刻閘極介電層242。
在一個範例中,閘極介電層242包括鉿(Hf)元素,例如HfO2或HfSiO4或其他含鉿(Hf)的介電質。如第3A圖所示,藉由形成磷酸鹽金屬鍵結(P-Hf鍵結),鉿(Hf)元素與蝕刻溶液反應以形成含鉿(Hf)磷酸螯合物或含鉿(Hf)磷酸鹽(或稱為含鉿(Hf)錯合劑)。磷酸鹽頭部基可從閘極介電層242配位到含有鉿(Hf)元素的表面上,形成單齒和雙齒錯合物以保護閘極介電層242。形成在來自閘極介電層242之高介電常數材料中的磷酸鹽金屬鍵結在界面處提供良好的鍵結,將自保護層257結合到閘極介電層242上。
相似地,在另一個範例中,閘極介電層242包括鋯(Zr)或鉭(Ta)元素,例如:ZrO2、Ta2O5或其他含鋯(Zr) 或鉭(Ta)的介電質。分別如第3B圖及第3C圖所示,藉由形成磷酸鹽金屬鍵結(P-Zr鍵結或P-Ta鍵結),金屬元素與蝕刻溶液反應以形成含鋯(Zr)或含鉭(Ta)磷酸螯合物或者含鋯(Zr)或含鉭(Ta)磷酸鹽(或是稱為含鋯(Zr)或含鉭(Ta)錯合劑)。磷酸鹽頭部基可從閘極介電層242配位到含有鋯(Zr)或鉭(Ta)元素的表面上,形成單齒和雙齒錯合物以保護閘極介電層242。
如第2G圖所示,由於閘極介質層242與來自蝕刻溶液的成分鍵結,當閘極介電層242的表面256(包括底部和側壁部分的表面)暴露時,自保護層257形成在閘極介質層242上。接著,自保護層257的形成導致開口230中第一功函數金屬244的蝕刻在閘極介電層242處自動停止。因為來自自保護層257的金屬元素係源自於來自閘極介電層242的金屬元素,故閘極介電層242與自保護層257具有共同之形成於其中的金屬元素。該共同的金屬元素可為Ta、Ti、Hf、Zr或其組合,或是被選擇用以製造閘極介電層242的金屬介電材料之任何合適的材料。
在形成自保護層257之後,可將基底200從蝕刻溶液中移除。可進行沖洗製程以從開口230移除蝕刻殘餘物(例如:磷酸鹽單層)。舉例而言,沖洗製程可使用含有去離子水、碳化去離子水(carbonized DI water)之溶液,例如:具有二氧化碳的去離子水或具有NH4OH的去離子水。可在約20至約80度的攝氏溫度下進行沖洗製程。此外,亦可進行乾燥製程以使基底200的表面乾燥。舉例而言,乾燥製程可包括在存在氮氣流的情況下旋轉乾燥基底200。舉例而言,乾燥製程可包括異 丙醇(isoprophyl alcohol,IPA)乾燥製程。
在步驟116中,如第2H圖所示,在p型裝置區250a中形成自保護層257之後,接著將第一罩幕結構248從裝置結構201的n型裝置區250b移除。在移除第一罩幕結構248之後,第一功函數金屬244暴露於n型裝置區250b中以及自保護層257形成於p型裝置區250a中。
在步驟118中,如第2I圖所示,可共形地形成可選的金屬阻障層261於自保護層257上。如上所述,當來自閘極介電層242的金屬元素遇到來自磷酸的磷元素時,會發生化學反應以形成包含磷酸螯合物的自保護層257。相似地,將可選的金屬阻障層261的材料選擇為包括金屬元素,該金屬元素能夠與自保護層257中來自磷酸螯合物的磷元素反應,以形成具有良好接合性的鍵結並達成良好的界面控制。形成的金屬阻障層261可提供更寬的製程容許範圍(process windows),其可幫助調諧金屬閘極結構的功函數值,該金屬閘極結構將被形成及完成於層間介電質(ILD)218所定義的開口230之中。功函數值的調諧有助於在將形成於相應區域的裝置中達到期望的閾值電壓(Vt)。因此,藉由可選地形成金屬阻障層261於開口230中,其在後續將形成為金屬閘極結構的一部分,可得到用以形成金屬閘極結構的膜架構(film scheme)之更多選擇,使得金屬閘極結構的功函數值可更有彈性地調整或維持。
在一個範例中,形成在自保護層257上的金屬阻障層261為含鉭(Ta)層。如前面第3C圖所述,磷元素與鉭(Ta)元素具有相對較強的鍵結能量。因此,含有鉭(Ta)元素的金 屬阻障層261在金屬阻障層261與自保護層257及/或閘極介電層242之間提供具有強鍵結的界面,該界面包括遵循第3C圖所示之相似的反應機制之含鉭(Ta)磷酸螯合物。具有鉭(Ta)元素的金屬阻障層261亦有助於更加穩固地在閘極介電層242與金屬阻障層261之間密封自保護層257,以提供良好的界面控制和接合處理。應注意的是,亦可以使用含有金屬元素(其可與磷元素反應並與磷元素形成鍵結)的其它金屬介電質來形成金屬阻障層261。金屬阻障層261的合適範例包括含鉭(Ta)層、含鈦(Ti)層等。在一個範例中,金屬阻障層261選自Ta2O5、TaSiON、TaSiO、TiN、TiON、TiO、TiSiO等。可藉由化學氣相沉積(CVD)、原子層沉積(ALD)及/或其他合適的製程來沉積金屬阻障層261。在此所述的一個範例中,使用原子層沉積(ALD)製程形成金屬阻障層261。
在步驟120中,如第2J圖所示,在可選金屬阻障層261形成在開口230中之後,共形地形成第二功函數金屬265在可選的金屬阻障層261上。被選擇用來製造第二功函數金屬265的材料(其在多重圖案化製程之後將保留在p型裝置區250a中)可不同於存在基底200之n型裝置區250b上的第一功函數金屬244。
如上所述,功函數值與第一功函數金屬244及第二功函數金屬265的材料組成相關。藉由利用不同的材料在基底200的不同裝置區(例如:p型和n型裝置區250a和250b)中製造第一功函數金屬244和第二功函數金屬265,可根據需要更有彈性地調整及調諧金屬閘極結構的功函數值。p型功函數材料 的合適範例(其可具有4.8eV至5.2eV的功函數)包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其它合適的p型功函數材料或上述之組合;且n型功函數材料的合適範例(其可具有3.9eV至4.3eV的功函數)包括Ti、Ag、TaAl、TaAlC、HfAl、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其它合適的n型功函數材料或上述之組合。
可藉由化學氣相沉積(CVD)、原子層沉積(ALD)和/或其它合適的方法沉積第二功函數金屬265。
在此所示的一個範例中,使用原子層沉積(ALD)製程來形成第二功函數金屬265。可藉由在原子層沉積(ALD)製程期間改變製程參數(例如:沉積時間、前驅物脈衝的數量、脈衝頻率、基底溫度、壓力等)以改變及調整第二功函數金屬265的厚度。在一個範例中,藉由改變用於沉積第二功函數金屬265之原子層沉積(ALD)製程的沉積時間以調整第二功函數金屬265的厚度。
在步驟122中,如第2K圖所示,在形成第二功函數金屬265之後,將第二罩幕結構291設置在p型裝置區250a上並填充基底200之裝置結構201的p型裝置區250a中的開口230。第二罩幕結構291覆蓋裝置結構201的p型裝置區250a,並暴露裝置結構201的n型裝置區250b以用於進一步的蝕刻。第二罩幕結構291在蝕刻/圖案化製程期間作為罩幕,以保護由第二罩幕結構291所覆蓋的結構免於在蝕刻/圖案化製程期間受到損壞。
相似於上述之第一罩幕結構248,第二罩幕結構291可包括設置在底部抗反射塗層(BARC)293上的光阻292。 可以藉由微影製程將光阻292圖案化使其具有可作為罩幕之期望的尺寸以轉移特徵至基底200上。底部抗反射塗層(BARC)293可為塗佈到基底200上的有機材料,並填充第2K圖所示之p型裝置區250a的開口230。應注意的是,第一罩幕結構248及第二罩幕結構291是在製程的不同階段形成,以蝕刻基底之不同區域的層,進而在基底的不同區域形成不同的膜架構以滿足不同的裝置性能需求及調整。
在步驟124中,如第2L圖所示,進行蝕刻製程以從基底200的n型裝置區250b移除第二功函數金屬265及可選的金屬阻障層261。蝕刻製程可為藉由蝕刻溶液浸漬或浸泡基板200所進行之濕蝕刻製程。在另一個範例中,利用諸如氣相或電漿製程之乾蝕刻製程以移除n型裝置區250b中的第二功函數金屬265及可選的金屬阻障層261。在又一個範例中,利用濕蝕刻製程及乾蝕刻製程的組合以移除n型裝置區域250b中的第二功函數金屬265及可選的金屬阻障層261。在具體的範例中,在步驟124中,移除n型裝置區250b中的第二功函數金屬265及可選的金屬阻障層261係藉由將基底浸入(dipping)、浸漬(immersing)或浸泡(soaking)在濕蝕刻槽內的蝕刻溶液中所進行之濕蝕刻製程。蝕刻溶液可為pH值在預定範圍內之鹼性、中性或酸性溶液。蝕刻溶液的選擇係基於欲從基底200所移除之第二功函數金屬265及可選的金屬阻障層261的材料類型。
在步驟126中,如第2M圖所示,在從n型裝置區250b移除第二功函數金屬265和可選的金屬阻障層261之後,接著從裝置結構201的p型裝置區250a移除第二罩幕結構291。在移除 第二罩幕結構291之後,第二功函數金屬265暴露於p型裝置區250a中以及第一功函數金屬244形成於n型裝置區250b中。
因此,形成在p型裝置區250a中的膜架構(例如:閘極介電層242、自保護層257、可選的金屬阻障層261和第二功函數金屬層265)被配置為不同於形成在基底200之n型裝置區250b中的膜架構(例如:閘極介電層242和第一功函數金屬層244)。藉由對裝置結構201之不同區域中(例如:p型裝置區250a或n型裝置區250b)的不同結構使用不同膜架構及不同材料,可更有彈性且有效地調整並增進形成在裝置結構201中之金屬閘極結構的功函數值或其他相關的電氣性能。
此外,藉由在閘極介電層242與第二功函數金屬層265(或可選的金屬阻障層261)之間的界面處形成自保護層257,可以獲得並達成良好的界面控制以及電氣性能增強及調整。
在步驟128中,如第2N圖所示,在將第二罩幕結構291從基底200移除之後,將閘極電極金屬276填充至層間介電層(ILD)218所定義的開口230之中,以完成金屬閘極結構280。閘極電極金屬276亦可稱為金屬填充層,其係藉由化學氣相沉積(CVD)、物理氣相沉積(PVD)、電鍍和/或其他合適的製程所形成。閘極電極金屬276可包括Al、W或Cu及/或其他合適的材料。在一個範例中,在形成閘極電極金屬276之前,可形成襯層(未示出)(例如:TiN、TaN、TiON、TaON等)於基底上。
在步驟130中,如第2O圖所示,可進行化學機械平 坦化(CMP)製程以從金屬閘極結構280移除多餘的材料,以將裝置201的頂表面平坦化。
雖然並非意在限制,但本發明的一或多個實施例對半導體裝置及其形成提供許多益處。舉例而言,本發明的實施例提供用於在替換閘極製程中將功函數金屬層圖案化的方法。根據本發明實施例,可利用包含磷酸(或正磷酸)及在酸基溶液中的過氧化氫之蝕刻溶液以將功函數金屬圖案化。一旦從基底移除功函數金屬且暴露下方的閘極介電層之後,可以在閘極介電層上方形成自保護層以終止圖案化製程。因此,自保護層可保持其阻擋及橋接能力,以在裝置的不同位置形成具有不同變化的膜架構,進而以增進電氣性能。此外,在形成功函金屬之前,可在自保護層上形成可選的金屬阻障層,以在界面處提供彈性的調諧特徵,從而對裝置提供不同的功函數值。可在任何現有的濕蝕刻工具中進行使用包含磷酸的蝕刻劑之圖案化製程。
根據一實施例,本發明提供一種半導體裝置,包括:形成於基底上之第一閘極結構及第二閘極結構;其中第一閘極結構包括具有第一材料的第一功函數金屬,且第二閘極結構包括具有第二材料的第二功函數金屬,第一材料不同於第二材料,其中第一閘極結構更包括:閘極介電層;具有金屬磷酸鹽的自保護層;及位於自保護層上的第二功函數金屬。
如前述之半導體裝置,其中第一閘極結構更包括:位於自保護層與第二功函數金屬之間的金屬阻障層。
如前述之半導體裝置,更包括:位於第一功函數 金屬上的閘極電極金屬。
如前述之半導體裝置,其中來自金屬磷酸鹽的金屬元素內嵌於閘極介電層中。
如前述之半導體裝置,其中閘極介電層與自保護層共享共同的金屬元素。
如前述之半導體裝置,其中共同的金屬元素為Ta、Ti、Hf、Zr或其組合之至少其中之一。
如前述之半導體裝置,其中金屬阻障層為含鉭(Ta)層。
如前述之半導體裝置,其中金屬阻障層與自保護層在其之間的介面處形成含鉭(Ta)磷酸鹽鍵結。
如前述之半導體裝置,其中閘極介電層係選自氧化鉿(HfO2)、Al2O3、氧化鑭(LaO2)、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2或其組合之至少其中之一的高介電常數材料。
如前述之半導體裝置,其中第一閘極結構更包括閘極介電層下方之界面層。
如前述之半導體裝置,其中第一功函數金屬係用於n型鰭式場效電晶體(FinFET),且第二功函數金屬係用於p型鰭式場效電晶體(FinFET)。
根據另一實施例,本發明提供一種半導體裝置,包括:形成於基底上之閘極結構,其中閘極結構包括:閘極介電層;位於閘極介電層上之包含金屬磷酸鹽的自保護層,其中金屬磷酸鹽與閘極介電層具有共同的金屬元素;及形成於自保 護層上之功函數金屬。
如前述之半導體裝置,其中共同的金屬元素為Ta、Ti、Hf、Zr或其組合之至少其中之一。
如前述之半導體裝置,更包括:位於自保護層上之金屬阻障層,其中金屬阻障層與來自自保護層的金屬磷酸鹽接合。
如前述之半導體裝置,其中金屬阻障層為含鉭(Ta)材料。
根據又一實施例,本發明提供一種半導體裝置的形成方法,包括:使用蝕刻溶液將設置於基底上的閘極介電層上之第一功函數金屬圖案化,其中蝕刻溶液包括磷酸及酸溶液中的過氧化氫;以及一旦從基底移除第一功函數金屬,則藉由使閘極介電層暴露於蝕刻溶液以形成自保護層於閘極介電層上。
如前述之半導體裝置的形成方法,更包括:形成第二功函數金屬於自保護層上。
如前述之半導體裝置的形成方法,其中自保護層包括與閘極介電層具有共同金屬元素的金屬磷酸鹽。
如前述之半導體裝置的形成方法,其中共同金屬元素為Ta、Ti、Hf、Zr或其組合之至少其中之一。
如前述之半導體裝置的形成方法,更包括:形成金屬阻障層於自保護層上,其中金屬阻障層與來自自保護層的金屬磷酸鹽接合。
前述內文概述了許多實施例的特徵,使本技術領 域中具有通常知識者可以更佳的了解本發明的各個方面。本技術領域中具有通常知識者應該可理解,他們可以很容易的以本發明為基礎來設計或修飾其它製程及結構,並以此達到相同的目的及/或達到與本發明介紹的實施例相同的優點。本技術領域中具有通常知識者也應該了解這些相等的結構並不會背離本發明的發明精神與範圍。本發明可以作各種改變、置換、修改而不會背離本發明的發明精神與範圍。
Figure 106140925-A0305-02-0002-1
200:基底
201:半導體裝置結構
202:鰭結構
218:層間介電質(ILD)
220:間隔物特徵
224:頂表面
230:開口
240:界面層
242:閘極介電層
244:第一功函數金屬
250a:p型裝置區
250b:n型裝置區
257:自保護層
261:金屬阻障層
265:第二功函數金屬
280:金屬閘極結構

Claims (10)

  1. 一種半導體裝置,包括:一第一閘極結構及一第二閘極結構,形成於一基底上;其中該第一閘極結構包括具有一第一材料的一第一功函數金屬,且該第二閘極結構包括具有一第二材料的一第二功函數金屬,該第一材料不同於該第二材料,其中該第一閘極結構更包括:一閘極介電層;一自保護層,其具有金屬磷酸鹽;以及該第二功函數金屬,位於該自保護層上。
  2. 如請求項1之半導體裝置,其中該第一閘極結構更包括:一金屬阻障層,位於該自保護層與該第二功函數金屬之間。
  3. 一種半導體裝置,包括:一閘極結構,形成於一基底上,其中該閘極結構包括:一閘極介電層;以及一自保護層,位於該閘極介電層上,並且包含一金屬磷酸鹽,其中該金屬磷酸鹽與該閘極介電層具有一共同的金屬元素;以及一功函數金屬,形成於該自保護層上。
  4. 一種半導體裝置,包括:一基底;一閘極介電層,位於該基底上方,該閘極介電層包括一化合物,該化合物包括一第一金屬元素;一自保護層,位於該閘極介電層上,該自保護層包括一金 屬磷酸鹽,該金屬磷酸鹽包括該第一金屬元素;以及一導電材料,位於該自保護層上方。
  5. 一種半導體裝置之形成方法,包括:使用一蝕刻溶液圖案化設置於一基底上的一閘極介電層上之一第一功函數金屬,其中該蝕刻溶液包括一酸溶液中的磷酸及過氧化氫;以及一旦從該基底移除該第一功函數金屬,則藉由使該閘極介電層暴露於該蝕刻溶液以形成一自保護層於該閘極介電層上,該自保護層包括一金屬磷酸鹽。
  6. 一種半導體裝置之形成方法,包括:於一半導體鰭上方的複數間隔物之間沉積一閘極介電質;於該閘極介電質上方沉積一第一功函數層;以及對該第一功函數層施加一蝕刻劑,其中該蝕刻劑將移除該第一功函數層的一部分,並且與該閘極介電質的一部分反應以形成一自保護層,該自保護層包括一金屬磷酸鹽。
  7. 一種半導體裝置之形成方法,包括:從一半導體鰭上方移除一虛設閘極;於該半導體鰭上方沉積一高介電常數閘極介電層,在沉積該高介電常數閘極介電層之後,該高介電常數閘極介電層包括一第一反應物;於該高介電常數閘極介電層上方沉積一第一功函數層;使用一蝕刻劑移除該第一功函數層的一部分,並且暴露該該高介電常數閘極介電層,該蝕刻劑包括一第二反應物;該第一反應物和該第二反應物反應以形成一自保護層,該 自保護層位於該高介電常數閘極介電層的一部分上方且包括一金屬元素;以及於該自保護層上方沉積一閘極電極。
  8. 一種半導體裝置,包括:一半導體通道,於一基底上方;一閘極介電質,相鄰於該半導體通道;一自保護層,與該閘極介電質物理接觸,其中該閘極介電質包括一金屬元素,並且該自保護層包括該金屬元素的一磷酸鹽;以及一導電材料,相鄰於該自保護層。
  9. 一種半導體裝置,包括:一金屬磷酸鹽,位於一基底上方的一半導體鰭上方,該金屬磷酸鹽包括一第一金屬元素;一閘極介電質,位於該金屬磷酸鹽的一第一側上,該閘極介電質包括該第一金屬元素;以及一導電材料,位於該金屬磷酸鹽的與該金屬磷酸鹽的該第一側相對的一第二側上。
  10. 一種半導體裝置,包括:一第一半導體鰭,位於一基底上方;一第二半導體鰭,位於該基底上方;一第一閘極堆疊,上覆於該第一半導體鰭,上述第一閘極堆疊包括:一第一閘極介電質,包括一第一金屬氧化物;一第一功函數層;以及 一第一金屬磷酸鹽,在該第一閘極介電質和該第一功函數層之間延伸,該第一金屬磷酸鹽和該第一金屬氧化物具有一共同的金屬元素;以及一第二閘極堆疊,上覆於該第二半導體鰭,上述第二閘極堆疊包括:一第二閘極介電質,包括該第一金屬氧化物;以及一第二功函數層,與該第一功函數層不同。
TW106140925A 2017-09-28 2017-11-24 半導體裝置及其形成方法 TWI728208B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/718,565 US10170317B1 (en) 2017-09-28 2017-09-28 Self-protective layer formed on high-k dielectric layer
US15/718,565 2017-09-28

Publications (2)

Publication Number Publication Date
TW201916366A TW201916366A (zh) 2019-04-16
TWI728208B true TWI728208B (zh) 2021-05-21

Family

ID=64736345

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106140925A TWI728208B (zh) 2017-09-28 2017-11-24 半導體裝置及其形成方法

Country Status (3)

Country Link
US (4) US10170317B1 (zh)
CN (1) CN109585446B (zh)
TW (1) TWI728208B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10020304B2 (en) * 2015-11-16 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor, semiconductor device and fabricating method thereof
US10283417B1 (en) * 2017-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10170317B1 (en) * 2017-09-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layer
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US11784187B2 (en) * 2020-02-27 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11444198B2 (en) * 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
KR20220054482A (ko) * 2020-10-23 2022-05-03 삼성전자주식회사 반도체 장치

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201421550A (zh) * 2012-11-30 2014-06-01 Globalfoundries Us Inc 製造具有低電阻金屬閘極結構之積體電路的方法
TW201535687A (zh) * 2014-01-22 2015-09-16 Taiwan Semiconductor Mfg Co Ltd 半導體元件及其製造方法
TW201542773A (zh) * 2014-04-30 2015-11-16 Fujifilm Corp 蝕刻液、使用其的蝕刻方法及半導體基板製品的製造方法以及金屬防蝕劑
US20160181163A1 (en) * 2014-12-22 2016-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Structure for Metal Gates
TW201729342A (zh) * 2015-11-20 2017-08-16 台灣積體電路製造股份有限公司 半導體裝置結構與其形成方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330384A (ja) * 1998-05-01 1999-11-30 Internatl Business Mach Corp <Ibm> トランジスタ構造及びその製造方法
DE102009023376B4 (de) 2009-05-29 2012-02-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Einstellen der Austrittsarbeit in Metallgateelektrodenstrukturen mit großem ε durch selektives Entfernen einer Barrierenschicht
US9337303B2 (en) 2011-09-24 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US9076889B2 (en) * 2011-09-26 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate semiconductor device
US9368636B2 (en) * 2013-04-01 2016-06-14 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a semiconductor device comprising a plurality of oxide semiconductor layers
US9236446B2 (en) 2014-03-13 2016-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Barc-assisted process for planar recessing or removing of variable-height layers
US10468528B2 (en) 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US10438715B2 (en) * 2014-11-12 2019-10-08 Samsung Electronics Co., Ltd. Nanostructure, method of preparing the same, and panel units comprising the nanostructure
US9570580B1 (en) 2015-10-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for FinFET
US10170317B1 (en) * 2017-09-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201421550A (zh) * 2012-11-30 2014-06-01 Globalfoundries Us Inc 製造具有低電阻金屬閘極結構之積體電路的方法
TW201535687A (zh) * 2014-01-22 2015-09-16 Taiwan Semiconductor Mfg Co Ltd 半導體元件及其製造方法
TW201542773A (zh) * 2014-04-30 2015-11-16 Fujifilm Corp 蝕刻液、使用其的蝕刻方法及半導體基板製品的製造方法以及金屬防蝕劑
US20160181163A1 (en) * 2014-12-22 2016-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and Structure for Metal Gates
TW201729342A (zh) * 2015-11-20 2017-08-16 台灣積體電路製造股份有限公司 半導體裝置結構與其形成方法

Also Published As

Publication number Publication date
US10937656B2 (en) 2021-03-02
US10490410B2 (en) 2019-11-26
US11923201B2 (en) 2024-03-05
CN109585446A (zh) 2019-04-05
US20200090940A1 (en) 2020-03-19
US20190164766A1 (en) 2019-05-30
TW201916366A (zh) 2019-04-16
US10170317B1 (en) 2019-01-01
CN109585446B (zh) 2023-05-05
US20210193469A1 (en) 2021-06-24

Similar Documents

Publication Publication Date Title
TWI728208B (zh) 半導體裝置及其形成方法
TWI662627B (zh) 半導體裝置及其形成方法
US11721746B2 (en) Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
US10930794B2 (en) Self-aligned spacers for multi-gate devices and method of fabrication thereof
TW201913755A (zh) 半導體裝置及其形成方法
US11114347B2 (en) Self-protective layer formed on high-k dielectric layers with different materials
US20220173226A1 (en) Metal Gate Using Monolayers
KR102058222B1 (ko) 상이한 물질을 가진 하이 k 유전체 층 상에 형성된 자기 보호 층
US11830928B2 (en) Inner spacer formation in multi-gate transistors
TWI721575B (zh) 半導體裝置及其形成方法
TW202017189A (zh) 半導體裝置
KR20210134215A (ko) 에어 갭을 가지는 후면 유전체 층을 갖는 집적 회로 구조체
US11158545B2 (en) Methods of forming isolation features in metal gates
TW201946159A (zh) 形成半導體結構的方法
US10811253B2 (en) Methods of fabricating semiconductor devices having crystalline high-K gate dielectric layer
TWI810528B (zh) 半導體裝置及其製造方法
TWI742402B (zh) 半導體裝置及其製造方法
TW202339278A (zh) 半導體裝置及其製造方法