TW201916116A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW201916116A
TW201916116A TW107108629A TW107108629A TW201916116A TW 201916116 A TW201916116 A TW 201916116A TW 107108629 A TW107108629 A TW 107108629A TW 107108629 A TW107108629 A TW 107108629A TW 201916116 A TW201916116 A TW 201916116A
Authority
TW
Taiwan
Prior art keywords
dopant
fin
region
layer
gate
Prior art date
Application number
TW107108629A
Other languages
English (en)
Other versions
TWI724285B (zh
Inventor
詹佳玲
劉孟岳
林瑋耿
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201916116A publication Critical patent/TW201916116A/zh
Application granted granted Critical
Publication of TWI724285B publication Critical patent/TWI724285B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

根據本發明一些實施例,提供半導體裝置的製造方法,其包含形成第一鰭片於基底的第一區上,及形成第二鰭片於基底的第二區上。上述方法亦包含形成第一閘極堆疊於第一鰭片上,及形成第二閘極堆疊於第二鰭片上。上述方法更包含形成間隙物層於第一鰭片、第二鰭片、第一閘極堆疊及第二閘極堆疊上。此外,上述方法包含形成第一遮罩於第二區的間隙物層上,且第一遮罩覆蓋第二鰭片。形成第一遮罩後,將具有第一摻雜型態的第一摻雜質植入第一鰭片上方的間隙物層內。上述方法亦包含移除第一遮罩。上述方法更包含執行第一退火製程,以將第一摻雜質導入第一鰭片,以及磊晶成長第一源極/汲極區於第一鰭片內。

Description

半導體裝置及其製造方法
本發明一些實施例係有關於半導體裝置及其製造方法,特別係有關於利用摻雜製程所形成的半導體裝置及其形成方法。
半導體裝置被廣泛地運用在各種電子產品,例如個人電腦、手機、數位相機及其他電子設備。半導體裝置通常藉由依序沉積絕緣或介電層、導電層及半導體層的材料於半導體基底上,並藉由微影圖案化各種材料層,以形成電路部件及元件於半導體基底上。
藉由持續地減小最小部件尺寸,半導體工業持續改善各種電路組件(例如電晶體、二極體、電阻、電容等)的整合密度,以允許在單位面積內可以整合更多組件。然而,隨著最小部件的尺寸減小,產生其他的問題並且需要改善。
根據本發明一些實施例,提供半導體裝置的製造方法,其包含形成第一鰭片於基底的第一區上及第二鰭片於基底的第二區上。上述方法亦包含形成第一閘極堆疊於第一鰭片上,及形成第二閘極堆疊於第二鰭片上。上述方法更包含形成 間隙物層於第一鰭片、第二鰭片、第一閘極堆疊及第二閘極堆疊上。此外,上述方法包含形成第一遮罩於第二區的間隙物層上,且第一遮罩覆蓋第二鰭片。形成第一遮罩後,將具有第一摻雜型態的第一摻雜質植入第一鰭片上方的間隙物層內。上述方法亦包含移除第一遮罩。上述方法更包含執行第一退火製程,以將第一摻雜質導入第一鰭片,以及磊晶成長第一源極/汲極區於第一鰭片內。
根據本發明一些實施例,提供半導體裝置的製造方法,其包形成由基底凸出的半導體鰭片。上述方法亦包含沉積間隙物層於半導體鰭片上。沉積間隙物層於半導體鰭片上後,以輪流重複的步驟將第一摻雜質植入間隙物層,且沉積第一摻雜質的摻雜質層於間隙物層上。上述方法更包含移除摻雜質層。此外,上述方法包含執行熱退火製程,將第一摻雜質從間隙物層導入半導體鰭片。
根據本發明一些實施例,提供半導體裝置。上述半導體裝置包含從基底延伸的鰭片。上述半導體裝置亦包含圍繞鰭片的隔離區,且隔離區被摻雜第一摻雜型態的第一摻雜質。上述半導體裝置更包含位於鰭片上閘極堆疊。此外,上述半導體裝置包含閘極間隙物於鰭片上,且與閘極堆疊相鄰,閘極間隙物被摻雜第一摻雜質。上述半導體裝置亦包含輕摻雜區於鰭片內,且位於閘極間隙物下方,輕摻雜區被摻雜第一摻雜質。上述半導體裝置更包含磊晶源極/汲極區於鰭片內,磊晶源極/汲極區延伸穿過輕摻雜區,磊晶源極/汲極區具有第一摻雜型態。
50‧‧‧基底
50B‧‧‧區域
50C‧‧‧區域
52‧‧‧鰭片
54‧‧‧絕緣材料
56‧‧‧淺溝槽隔離區
58‧‧‧鰭片
60‧‧‧虛置介電層
62‧‧‧虛置閘極層
64‧‧‧遮罩層
70‧‧‧虛置閘極介電層
72‧‧‧虛置閘極
74‧‧‧遮罩
80‧‧‧閘極密封間隙物層
82‧‧‧遮罩
84‧‧‧摻雜質粒子
86‧‧‧摻雜質層
88‧‧‧惰性氣體粒子
90‧‧‧退火製程
92‧‧‧LDD區
94‧‧‧區域
96‧‧‧閘極密封間隙物
98‧‧‧閘極間隙物
100‧‧‧磊晶源極/汲極區
102‧‧‧層間介電層
104‧‧‧凹陷
110‧‧‧閘極介電層
112‧‧‧閘極電極
114‧‧‧層間介電層
116‧‧‧接觸物
118‧‧‧接觸物
200‧‧‧沉積系統
202‧‧‧腔室
204‧‧‧外蓋
206‧‧‧卡盤
208‧‧‧氣體導入管
210‧‧‧電漿產生器
本揭露的各種樣態最好的理解方式為閱讀以下說明書的詳說明並配合所附圖式。應該注意的是,本揭露的各種不同特徵部件並未依據工業標準作業的尺寸而繪製。事實上,為使說明書能清楚敘述,各種不同特徵部件的尺寸可以任意放大或縮小。
第1圖是根據一些實施例,鰭式場效電晶體之立體圖;第2-5、6A、6B、6C、7A、7B、7C、8A、8B、8C、9A、9B、9C、10A、10B及10C圖是根據一些實施例,形成鰭式場效電晶體之中間各階段的剖面示意圖;第11圖是根據一些實施例,沉積系統的剖面示意圖;第12A、12B、12C、13A、13B、13C、14A、14B、15A、15B、16A、16B、16C、16D、17A、17B、18A、18B、19A、19B、20A、20B、21A、21B、22A及22B是根據一些實施例,形成鰭式場效電晶體之中間各階段的剖面示意圖。
要瞭解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了將附加的特徵部件形成於上述第一特徵 部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用語,例如”在...之下”、”下方”、”下部”、”上方”、”上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語涵蓋使用或操作中的裝置的不同方位。例如,若翻轉圖式中的裝置,描述為位於其他元件或特徵部件”下方”或”在...之下”的元件,將定位為位於其他元件或特徵部件”上方”。因此,範例的用語”下方”可涵蓋上方及下方的方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
根據本發明一些實施例,形成間隙物層於鰭式場效電晶體的基底的第一區及第二區上。第二區被遮罩覆蓋,且在電漿摻雜製程時,對第一區的間隙物層摻雜第一摻雜質(例如,P型摻雜質,例如硼)。接下來執行退火製程,將摻雜質導入第一區的鰭片,藉此在第一區的鰭片內形成輕摻雜汲極/源極(LDD)區。在第一區的鰭片內磊晶成長源極/汲極區。使用電漿摻雜製程形成LDD區,可以增加鰭片之接觸磊晶源極/汲極區的側壁的摻雜質的濃度,藉此改善裝置的效能及可靠度。
第1圖繪示鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)的一個示例之三維立體圖。鰭式場效電晶體包含位於基底50上的鰭片58。隔離區56形成於基底50上,且鰭片58凸出於相鄰的兩個隔離區56之間。閘極介電層110沿著鰭片58的側壁和上表面而形成,且閘極電極112位於閘極介電層110上方。第1圖進一步標示之後圖式的剖面參考線。剖面A-A橫越鰭式場效電晶體的通道區、閘極介電層110及閘極電極112。剖面B-B與剖面A-A垂直,且沿鰭片58的縱邊,以及源極/汲極區100之間電流流動的方向。剖面C-C與剖面B-B平行,且延伸穿過源極/汲極區。為了簡潔,後續對應的圖式所示的剖面亦可參考上述對應剖面的敘述。
在本說明書中,一些實施例所探討鰭式場效電晶體的形成是使用後閘極製程(gate-lastProcess)。在其他實施例,則可使用閘極優先製程(gate-firstProcess)。此外,一些實施例可使用於平面裝置,例如平面場效電晶體。
第2-10C、12A-22B圖是根據一些實施例,製造鰭式場效電晶體中間各階段的剖面示意圖。在第2-5圖中,除了多個鰭片/鰭式場效電晶體以外,是沿第1圖的A-A剖面線繪示。在第6A-10C及12A-22B圖中,除了多個鰭片/鰭式場效電晶體以外,圖式名稱最後標示”A”指的是沿第1圖的A-A剖面線繪示,圖式名稱最後標示”B”指的是沿第1圖的B-B剖面線繪示,圖式名稱最後標示”C”或”D”指的是沿第1圖的C/D-C/D剖面線繪示。
在第2圖,鰭片52形成於基底50上。基底50可為半 導體基底,例如塊材(bulk)半導體、絕緣上覆半導體(semiconductor-on-insulation,SOI)基底,類似的經摻雜(例如,摻雜p型或n型摻雜質)或未摻雜的基底。基底50可以是晶圓,例如為矽晶圓。一般而言,絕緣上覆半導體基底包含形成在絕緣層上的一層半導體材料。絕緣層可例如為埋入氧化(buried oxide,BOX)層、氧化矽層或類似的材料。提供絕緣層在基底上,典型的基底為矽或玻璃基底。其他的基底則可使用例如為多重層或梯度(gradient)基底。在一些實施例,基底50的半導體材料包含矽、鍺;化合物半導體的材料包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;合金半導體的材料包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP或上述組合。
基底50具有區域50B及區域50C。區域50B可用來形成n型裝置,例如為NMOS電晶體,NMOS電晶體可例如為n型鰭式場效電晶體。區域50C可用來形成p型裝置,例如為PMOS電晶體,PMOS例如為p型鰭式場效電晶體。區域50B可與區域50C彼此物理分隔(如圖所示的分隔線),且可在區域50B和區域50C之間設置任意數量的裝置部件(例如,其他的主動裝置、摻雜區,隔離結構等)。在一些實施例,區域50B和區域50C兩者皆用來形成相同類型的裝置,例如兩者皆為n型裝置或p型裝置。
鰭片52為半導體條狀物。在一些實施例,鰭片52可藉由蝕刻基底50形成溝槽而形成在基底50內。蝕刻可為任意適合的蝕刻製程,例如反應性離子蝕刻(reactive ion etching, RIE),中子束蝕刻(neutral beam etching,NBE),類似的蝕刻製程或上述組合。蝕刻可為非等向性。
在第3圖,絕緣材料54形成於基底50上,且位於相鄰的鰭片52間。絕緣材料54可為氧化物,例如氧化矽、氮化物、類似材料或上述組合,且可藉由高密度電漿化學氣相沉積(high densityPlasma chemical vapor deposition,HDP-CVD)、可流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如,在遠端電漿系統內沉積以CVD為基礎的材料,之後執行後硬化,使其轉換成其他的材料,例如氧化物)、類似材料或上述組合。其他的絕緣材料可藉由任意適合的製程而形成。在繪示的實施例中,絕緣材料54為氧化矽,並藉由FCVD製程形成。形成絕緣材料後,可執行退火製程。在一實施例,形成絕緣材料54使多餘的絕緣材料54覆蓋鰭片52。
在第4圖,對絕緣材料54執行平坦化製程。在一些實施例,平坦化製程包含化學機械研磨(chemical mechanicalPolish,CMP)、回蝕刻製程、上述組合或類似的製程。平坦化製程使鰭片52露出。平坦化製程完成後,鰭片52的頂部表面與絕緣材料54的頂部表面為共平面。
在第5圖,凹蝕絕緣材料54以形成淺溝槽隔離(Shallow Trench Isolation,STI)區56。凹蝕絕緣材料54使得在區域50B和區域50C的鰭片58從相鄰的淺溝槽隔離區56之間凸出。此外,淺溝槽隔離區56的上表面可具有如圖示的平坦表面、或是凸面的表面、凹面的表面(例如為碟形)或上述組合。可藉由適合的蝕刻而形成平坦、凸面或凹面的淺溝槽隔離區56 的上表面。可使用適合蝕刻製程形成淺溝槽隔離區56的凹陷,例如對絕緣材料54的材料具有選擇性的蝕刻製程。例如,可使用CERTAS®蝕刻、應用材料(Applied Materials)SICONI機台或稀釋氫氟酸(dHF)來移除化學氧化物。
所屬技術領域中具有通常知識者可以了解第2-5圖所繪示的製程僅是形成鰭片58的示例。在一些實施例,在基底50的上表面形成介電層;蝕刻而產生穿透介電層的溝槽;在溝槽內磊晶成長同質磊晶結構;以及凹蝕介電層使得同質磊晶結構凸出於介電層而形成鰭片。在一些實施例,可用異質磊晶結構來形成鰭片52。例如,凹蝕第4圖所示的鰭片52,用不同於鰭片52的材料磊晶成長於鰭52被凹蝕處。在一些實施例,在基底50的上表面形成介電層;蝕刻而產生穿透介電層的溝槽;使用與基底50不同的材料,在溝槽內執行磊晶成長,以形成異質磊晶結構;以及凹蝕介電層,使得異質磊晶結構凸出於介電層,而產生鰭片58。在一些實施例,磊晶成長同質磊晶或異質磊晶結構,生成的材料可在生成過程中使用原位(in situ)摻雜,如此可免除在此之前與之後的植入製程。在一些實施例,可一起使用原位及植入摻雜。此外,在NMOS區磊晶成長與PMOS區不同的材料是有利的。在各種不同的實施例,鰭片58可由矽鍺(SixGe1-x,其中x介於約0-1之間)、碳化矽、純鍺或大致上為純的鍺、三五族化合物半導體、二四族化合物半導體或類似材料形成。例如,可用來形成三五族化合物半導體的材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP及類似材料,但不限於此。
另外,在第5圖,可在鰭片58、鰭片52及/或基底50內形成適合的摻雜區(未繪示)。在一些實施例,可在區域50B形成P型摻雜區,並在區域50C形成N型摻雜區。在一些實施例,在區域50B及區域50C內只形成P型摻雜區或N型摻雜區。
在一些實施例,可使用光阻或其他的遮罩(未繪示)達到在不同的區域50B和50C執行不同的植入步驟。例如,在區域50B的鰭片58和淺溝槽隔離區56上形成光阻。圖案化光阻以露出基底50的區域50C,例如為PMOS區。光阻可藉由旋轉技術形成,且可藉由適合的微影技術圖案化。圖案化光阻後,將n型雜質值入至區域50C,另外,光阻可作為遮罩來實質上避免n型雜質植入區域50B,例如NMOS區。可將n型雜質,例如磷、砷或類似的摻雜質植入在區域50C,且使其濃度等於或小於1018cm-3(例如介於約1017cm-3至約1018cm-3的範圍)。植入後,例如使用適合的灰化製程移除光阻。
將n型雜質植入區域50C後,在區域50C的鰭片58和淺溝槽隔離區56上形成光阻。圖案化光阻以露出基底50的區域50B。光阻可藉由旋轉技術形成,且可藉由適合的微影技術圖案化。圖案化光阻後,將p型雜質植入區域50B,另外,光阻可作為遮罩來實質上避免p型雜質摻植入區域50C,例如PMOS區。可將p型雜質,例如硼、二氟化硼或類似的摻雜質植入區域50B,且使其濃度等於或小於1018cm-3(例如介於約1017cm-3至約1018cm-3的範圍)。植入後,例如使用適合的灰化製程移除光阻。
將摻雜質植入區域50B及區域50C後,可執行退火 以活化植入的p型及/或n型雜質。在一些實施例,磊晶鰭片的成長材料可在生成過程中使用原位(in situ)摻雜,如此可免除植入。在一些實施例,可一起使用原位及植入摻雜。
第6A-10C及12A-22B圖是根據一些實施例,繪示形成半導體裝置的各種額外的步驟。特別而言,第6A-10C及12A-22B圖是根據一些實施例,繪示形成P型裝置,例如PMOS電晶體(例如,P型鰭式場效電晶體)的各種額外的步驟。圖式名稱最後標示”B”指的是沿第1圖區域50C的鰭片之B-B剖面線繪示。
在第6A、6B及6C圖,形成虛置介電層60於鰭片58上。虛置介電層60可例如為氧化矽、氮化矽、上述組合或類似材料,且可依據適合的技術來沉積或熱生成(例如,熱氧化)。虛置閘極層62形成在虛置介電層60上,且遮罩層64形成在虛置閘極層62。虛置閘極層62可沉積在虛置介電層60上,並藉由例如化學機械研磨製程平坦化。虛置閘極層62可為導電材料,且可由包含了多晶矽(polysilicon)、多晶(poly-crystalline)矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物和金屬所構成的群組選擇。在一些實施例,沉積非晶矽並使其再結晶以形成多晶矽。虛置閘極層62可藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、濺鍍沉積或其他習知且使用過的技術沉積導電材料。虛置閘極層62可使用其他相較於蝕刻隔離區具有高蝕刻選擇性的材料而形成。遮罩層64可沉積在虛置閘極層62上。遮罩層64可包含例如氮化矽、氮氧化矽或類似材料。 在此示例,形成橫越區域50B和區域50C的單一虛置閘極層62和單一遮罩層64。在一些實施例,可在區域50B和區域50C形成分隔的虛置閘極層,且可在區域50B和區域50C形成分隔的遮罩層。
在第7A、7B及7C圖,遮罩層64可藉由適合的微影及蝕刻技術來圖案化,以形成遮罩74。可藉由適合的蝕刻技術將遮罩74的圖案轉移至虛置閘極層62及虛置介電層60,而分別形成虛置閘極72及虛置閘極介電層70。虛置閘極72和虛置閘極介電層70覆蓋每一個鰭片58的通道區。遮罩74的圖案可用來使每一個虛置閘極72與相鄰的虛置閘極物理分隔。虛置閘極72可具有一長度方向,其大抵上與每一個磊晶鰭片的長度方向垂直。
在第8A、8B及8C圖,形成閘極密封間隙物層80於遮罩74、虛置閘極72、虛置閘極介電層70、鰭片58及/或淺溝槽隔離區56露出的表面上。可使用熱氧化或沉積製程形成閘極密封間隙物層80,其厚度可介於約35Å至約45Å的範圍間,例如約43Å。在一些實施例,使用沉積製程,閘極密封間隙物層80可沿鰭片58之間的淺溝槽隔離區56的上表面延伸。在一些實施例,閘極密封間隙物層80可由氮化物,例如氮化矽、氮氧化矽、碳化矽、氮碳化矽、類似的材料或上述組合形成。
在第9A、9B及9C圖,形成遮罩82,以覆蓋在區域50B的鰭片58。遮罩82可藉由例如形成一光阻於閘極密封間隙物層80、遮罩74、虛置閘極72、虛置閘極介電層70、鰭片58及/或淺溝槽隔離區56上而形成。光阻可為適合的光阻,例如單 層光阻、三層光阻或類似的光阻,且可藉由旋轉技術或類似的方法形成。藉由適合的黃光微影技術來圖案化光阻,以露出區域50C(例如,PMOS區),並讓區域50B(例如,NMOS區)被覆蓋。
在第10A、10B及10C圖,執行電漿摻雜製程,將摻雜質植入閘極密封間隙物層80,以形成摻雜質層86於閘極密封間隙物層80上。在繪示的實施例,在電漿摻雜製程使用P型摻雜質,藉此將P型摻雜質植入區域50C(例如,PMOS區)的閘極密封間隙物層80,而遮罩82保護區域50B(例如,NMOS區),使其不受電漿摻雜製程影響。以P型摻雜質摻雜閘極密封間隙物層80,可在接下來的步驟,讓鰭片58被P型摻雜質摻雜,在後續原位磊晶成長形成源極/汲極區時,來改善P型裝置的鰭片58的摻雜輪廓。
電漿摻雜製程可作為CVD製程的一部而執行。CVD製程例如射頻化學氣相沉積(radio-frequency chemical vapor deposition,RFCVD)、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)或類似的製程。電漿摻雜製程利用一氣體源,上述氣體源包含第一前驅物氣體、第二前驅物氣體及惰性氣體。第一前驅物氣體包括摻雜質,例如P型摻雜質,例如硼、鋁、鎵、銦,類似的元素或上述組合。第二前驅物氣體可包含任何會與第一前驅物氣體反應的氣體,藉此執行適合的CVD製程。惰性氣體可包含氙、氦、氬,氖,氪、氡、類似的氣體或上述組合。在一實施例,P型摻雜質為硼。在此實施例,第一前驅物氣體可為氣態 的硼氣體源,例如B2H6或類似的氣體,第二前驅物氣體為H2,且惰性氣體為氬。
第11圖是根據一些實施例,繪示沉積系統200。沉積系統200可用來將摻雜質植入閘極密封間隙物層80,以形成摻雜質層86於閘極密封間隙物層80上。沉積系統200包含由外蓋204定義出的腔室202。腔室202內的卡盤206支撐晶圓,例如包含基底50的晶圓。氣體導入管208提供前驅物氣體至腔室202內。電漿產生器210藉由前驅物氣體產生電漿。電漿產生器210可為變壓耦合式電漿產生器、感應耦合電漿系統,磁場提升反應離子蝕刻系統、電子粒子迴轉加速共振系統、遠端電漿產生器或類似的系統。在執行電漿摻雜製程的期間,沉積系統200以交替重複的步驟或脈衝(pulse)而執行放電及植入。在放電步驟,以相似於CVD製程的方式形成摻雜質層86於閘極密封間隙物層80上,而在植入步驟時,將摻雜質植入閘極密封間隙物層80。
在放電步驟的期間,P型摻雜質的摻雜質層86(如第10A-10C圖)形成於閘極密封間隙物層80上。將包含第一前驅物氣體、第二前驅物氣體及惰性氣體的氣體源經由氣體導入管208提供至腔室202。在一些實施例,執行硼摻雜,氣體源包括約5%至約15%的第一(例如,含硼)前驅物氣體、約30%至約85%的第二(例如,含H2)前驅物氣體,及約33%至約66%的惰性(例如,含氬)氣體。在此實施例,以每分鐘約30立方公分(sccm)至約150sccm的流速提供第一前驅物氣體;以小於約170sccm的流速提供第二前驅物氣體;以小於約170sccm的 流速提惰性氣體。電漿產生器210產生射頻功率,其藉由氣體源在腔室202內產生電漿罩。在一些實施例,植入硼,電漿產生器210產生約300瓦至約1000瓦的射頻功率,且產生的電漿包含硼離子,例如B2H5 +、BH3 +、B+和類似的離子。離子下降至晶圓或基底50的表面上,上述晶圓或基底50的表面是離子藉由自由電子來電性中和以製造摻雜質層86的表面。在一些實施例,執行硼摻雜,摻雜質層86為硼層,摻雜質層86的厚度可介於約2nm至約6nm,例如約2nm。
在植入步驟的期間,摻雜質粒子84(例如,硼)被導入閘極密封間隙物層80。在電漿產生器210與卡盤206之間產生直流偏壓。直流偏壓是負高壓偏差,且週期性地脈衝,使得植入步驟以週期性的方式執行。藉由直流偏壓加速摻雜質粒子84(例如,硼離子),使越過電漿罩,並植入閘極密封間隙物層80。惰性氣體粒子88(例如,氬)可與摻雜質粒子84碰撞,並把摻雜質粒子84撞擊到更深入至閘極密封間隙物層80。例如,惰性氣體粒子88可撞擊摻雜質粒子84,使其穿透已形成的摻雜質層86至區域50C(例如,PMOS區)的閘極密封間隙物層80。在一些實施例,執行硼摻雜,直流偏壓可藉於約-0.2V至約-10kV,脈衝週期可介於約20μs至約100μs,且頻率脈衝可介於約0.5kHz至約10kHz。在此實施例,硼最後的值入能量可介於約0.1KV至約3KV,且植入的硼的劑量可介於約1015atoms/cm3至約5x1016atoms/cm3
植入閘極密封間隙物層80內的摻雜質粒子84的濃度可具有梯度(graded),在從閘極密封間隙物層80的上表面 朝向閘極密封間隙物層80的底部/內側邊界的方向,摻雜質粒子84的濃度遞減。摻雜質粒子84也可進入遮罩82,其藉由後續的製程(將在後續討論)移除。藉此,遮罩82保護區域50B(例如,NMOS區),使其不受電漿摻雜製程影響。
在第12A、12B及12C圖,移除遮罩82。遮罩82可藉由適合的蝕刻製程移除,例如濕蝕刻製程。在一些實施例,濕蝕刻製程藉由硫酸過氧化氫混合物(Sulfuric Peroxide Mixture,SPM)而執行,SPM是一種包含H2SO4及H2O2的酸。SPM可更包含SC-1清潔溶液,SC-1是NH4OH、H2O2及去離子水的混合物。SPM對於遮罩82與閘極密封間隙物層80之間具有蝕刻選擇性,使得SPM移除遮罩82而大抵上不移除閘極密封間隙物層80。控制濕蝕刻製程條件(例如,時間、溫度)使得濕蝕刻製程移除遮罩82及摻雜質層86而大抵上不移除位於區域50C(例如,PMOS區),且嵌在閘極密封間隙物層80內的摻雜質粒子84(例如,硼)。在一實施例,執行濕蝕刻製程的時間介於約30秒至約120秒,並使用溫度介於約150℃至約180℃的高溫的SPM溶液。
可依據植入的能量(例如,介於約0.5KV至約3KV),調整濕蝕刻製程所使用SPM的的時間和溫度,以減少矽的流失(例如,鰭片高度的流失),並改善半導體裝置的開通電流(on-current,Ion)。例如,如上所述的濕蝕刻製程可讓鰭片高度沒有流失或只有些微流失(例如,0nm至約1nm),並讓半導體裝置的開通電流Ion的減少小於2%。若執行濕蝕刻製程的時間太長(例如,大於120秒)或更高的植入能量水平 (例如,大於約3KV),可造成3nm或更多的鰭片的高度流失,將造成半導體裝置的開通電流Ion劣化約6%。另一方面,若執行濕蝕刻製程的時間太短(例如,少於約30秒),可能沒有移除全部的遮罩82及閘極密封間隙物層80。
在第13A、13B及13C圖,執行退火製程90,將嵌入在閘極密封間隙物層80內的摻雜質粒子84導入區域50C(例如,PMOS區)的鰭片58,藉此形成輕摻雜汲極/源極(lightly doped drain/source,LDD)區92於鰭片58內。退火製程90也活化已經植入的摻雜質(例如,硼)。可在執行摻雜製程的腔室內執行退火製程90,或與執行摻雜製程不同的腔室內執行退火製程90。
退火製程90的高溫有助於將摻雜質粒子84導入對應的鰭片58。然而,如此的高溫會增加摻雜質(例如,硼)的揮發(outgassing)。摻雜質的揮發會造成形成在區域50C(例如,PMOS區)的鰭片58內的LDD區92具有較低濃度的摻雜質。揮發也會使生產的機台引起安全問題。在一些實施例,將氧氣(例如,O2)導入退火製程90的周圍環境,可減少摻雜質的揮發。在位於區域50C(例如,PMOS區)的鰭片58的表面上,O2可與摻雜質(例如,硼)反應(例如,氧化),藉此形成氧化薄膜(例如,例如矽、硼及類似的元素的表面材料的氧化物)(未繪示)於鰭片58上。氧化薄膜可避免或減少在退火製程90的期間,硼從LDD區92揮發。在一實施例,退火製程90為突發式退火(spike annealing)製程,其執行的溫度介於約950℃至約1050℃,執行的時間介於約1秒至約2秒,且周圍環境包含介 於約0%至約10%的O2,以及介於約90%至約100%的N2
LDD區92在閘極密封間隙物層80下方延伸,且與鰭式場效電晶體裝置的通道區相鄰。上述形成LDD區92的方法可讓LDD區92的摻雜質濃度在垂直方向具有梯度,例如,沿著由LDD區92的上(或頂部)表面朝向LDD區92較下方(或底部)之相對於LDD區92的上表面的邊界的方向,濃度為遞減。此外,通道區可大抵上沒有硼。由於通道區可大抵上不具有硼,可藉由一步驟而改變LDD區92與通道區之間的界面的硼摻雜質的濃度。選擇的摻雜質,例如,硼,可決定界面上的摻雜質濃度的改變是否為不連貫的。在沿著LDD區92與通道區之間的界面,具有梯度的摻雜質濃度及不連續的濃度改變,可減少最後的鰭式場效電晶體裝置的內部電阻。此外,一部分的摻雜質粒子84可由鰭片58的表面移動至通道區下方。因此,每一個鰭式場效電晶體裝置的兩個LDD區92可重疊,並延伸至區域94,藉此形成PMOS重疊區。形成PMOS重疊區可減少通道電阻,並增加鰭式場效電晶體裝置的開通電流,藉此改善鰭式場效電晶體裝置的效能。
退火製程90也將嵌入在閘極密封間隙物層80內的摻雜質粒子84(例如,硼)導入遮罩74、虛置閘極72及虛置閘極介電層70。然而,在一些實施例,例如在之後敘述的後閘極製程,以替代閘極取代虛置閘極72時,摻雜質不會影響替代閘極的效能。在一些實施例,使用閘極優先製程,當摻雜質(例如,硼)的劑量比較低時,閘極內的摻雜質粒子84不會對最終的半導體裝置造成不好的影響。與LDD區92相似,在沿著由虛 置閘極介電層70、虛置閘極72及遮罩74的外側表面朝向其內部的區域或表面之方向,虛置閘極介電層70、虛置閘極72及遮罩74的摻雜質粒子84的濃度為遞減。
退火製程90也將嵌入在閘極密封間隙物層80內的摻雜質粒子84(例如,硼)導入淺溝槽隔離區56。摻雜質粒子84不會對淺溝槽隔離區56的絕緣性質有影響。與LDD區92相似,淺溝槽隔離區56的摻雜質粒子84的濃度可具有梯度,在沿著由淺溝槽隔離區56的上表面(或頂部)朝向淺溝槽隔離區56的較低的邊界(或底部)的方向,摻雜質粒子84的濃度為遞減。
雖然在如第9A-13C圖所述的製程,是用來在位於基底50的區域50C(例如,PMOS區)的鰭片58內形成LDD區92。值得注意的是,可重複上述製程,在區域50B(例如,NMOS區)的鰭片58內形成LDD區92。例如,可沉積並圖案化光阻,使區域50B露出並覆蓋區域50C。可執行電漿摻雜製程,將N型摻雜質(例如,砷)植入區域50B的閘極密封間隙物層80。電漿摻雜製程所用的氣體源可包含砷(As)以及惰性氣體,例如Xe、He、Ar、Ne、Kr、類似的氣體,或上述組合。在區域50B執行的電漿摻雜製程的條件(例如,流速,植入能量)可與在區域50C執行的電漿摻雜製程的條件相似,在此不再贅述。可執行與在區域50B執行的濕蝕刻製程相似的濕蝕刻製程來移除光阻以及已沉積的N型摻雜質(例如,砷)層;濕蝕刻製程的條件(例如,酸的類型、溫度、執行時間等)可與上述在區域50C執行的濕蝕刻製程的條件相似,因此不再重複贅述。接下來,可執行與退火製程90相似的退火製程,將N型摻雜質導入 區域50B的鰭片58,並活化N型摻雜質,藉此在區域50B的鰭片58內形成LDD區92。
在第14A及14B圖,圖案化閘極密封間隙物層80以形成閘極密封間隙物96。閘極密封間隙物層80可藉由例如非等向性蝕刻製程來蝕刻。
在第15A及15B圖,在閘極間隙物98上,沿著虛置閘極72及遮罩74的側壁形成閘極間隙物98。閘極間隙物98可藉由共形沉積一材料後,執行非等向性蝕刻此材料而形成。閘極間隙物98的材料可為氮化矽、SiCN、含碳氧化矽、上述組合或類似的材料。蝕刻可對閘極間隙物98的材料具有選擇性,使得在形成閘極間隙物98的過程中,磊晶源極/汲極區100未被蝕刻。
在第16A、16B、16C及16D圖,形成磊晶源極/汲極區100於鰭片58內。磊晶源極/汲極區100形成在鰭片58內,使得每一個虛置閘極70設置在個別相鄰的一對磊晶源極/汲極區100之間。在一些實施例,磊晶源極/汲極區100可穿透LDD區92。在一些實施例,閘極間隙物98及閘極密封間隙物96用來使磊晶源極/汲極區100與虛置閘極72分隔一適合的橫向距離,使得磊晶源極/汲極區100不與之後形成的最終的鰭式場效電晶體的閘極之間發生短路。
區域50B(例如NMOS區)的磊晶源極/汲極區100可藉由下述方法形成:以遮罩覆蓋區域50C(例如PMOS區),蝕刻區域50B的鰭片58的源極/汲極區,以產生凹陷於鰭片58內。之後,在區域50B的凹陷內磊晶成長而形成磊晶源極/汲極 區100。磊晶源極/汲極區100可包含任意適合的材料,例如適用於n型鰭式場效電晶體。例如,當鰭片58為矽時,區域50B的磊晶源極/汲極區100可包含矽、SiC、SiCP、SiP或類似材料。區域50B的磊晶源極/汲極區100可具有由個別的鰭片58表面凸起的表面,且可具有刻面。
區域50C(例如PMOS區)的磊晶源極/汲極區100可藉由下述方法形成:以遮罩覆蓋區域50B(例如NMOS區),並蝕刻區域50C的鰭片58內的源極/汲極區,以在鰭片58內產生凹陷。之後,在區域50C的凹陷內磊晶成長而形成磊晶源極/汲極區100。磊晶源極/汲極區100可包含任意適合的材料,例如適用於p型鰭式場效電晶體。例如,當鰭片58為矽時,區域50C的磊晶源極/汲極區100可包含SiGe、SiGeB、Ge、GeSn或類似材料。區域50C的磊晶源極/汲極區100可具有由個別的鰭片58表面凸起的表面,且可具有刻面。
在成長形成源極/汲極區的期間,磊晶源極/汲極區100為原位摻雜。磊晶源極/汲極區100具有與個別的LDD區92相同的摻雜型態,且可使用相同的摻雜質或不同的摻雜質來摻雜。磊晶源極/汲極區100的雜質濃度介於約1019cm-3至約1021cm-3。用來形成源極/汲極區的N型及/或P型的雜質可為任意上述的雜質。由於在成長期間,磊晶源極/汲極區100為原位摻雜,摻雜質不是藉由植入來摻雜。然而,根據實施例製造出來的LDD區92的摻雜輪廓及濃度,可與用植入來摻雜所形成的磊晶源極/汲極區100的摻雜輪廓及濃度相似。改善LDD區92的摻雜輪廓和濃度可改善最終的半導體裝置的效能及可靠度。
執行用來在區域50B及區域50C內形成磊晶源極/汲極區100的磊晶製程後,磊晶源極/汲極區的上表面具有刻面,其在鰭片58的側壁上方橫向地向外延伸。在一些實施例,如第16C圖所示,這些刻面讓相同的鰭式場效電晶體之相鄰的磊晶源極/汲極區100合併。在其他實施例,如第16D圖所示,在磊晶製程完成後,相鄰的磊晶源極/汲極區100保留分離的態樣。
在第17A及17B圖,沉積層間介電層102於基底50上。層間介電層102可由介電材料或半導體材料形成,且可藉由任意適合的方法沉積,例如CVD、PECVD或FCVD。介電材料可包含磷酸矽酸鹽玻璃(Phospho-Silicate Glass,PSG)、硼矽酸鹽玻璃(Boro-Silicate Glass,BSG)、硼摻雜磷酸矽酸鹽玻璃(Boron-DopedPhospho-Silicate Glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped Silicate Glass,USG)或類似材料。半導體材料可包含非晶矽、矽鍺(SixGe1-x,其中x介於約0-1之間)、純鍺或類似的材料。可使用藉由任意適合的製程形成絕緣或半導體材料。在一些實施例,接觸物蝕刻頂部層(contact etch stop laye,CESL)(未繪示)設置在層間介電層102與磊晶源極/汲極區100、閘極間隙物98、閘極密封間隙物96及遮罩74之間。
在第18A及18B圖,可執行平坦化製程(例如化學機械研磨)使得層間介電層102的上表面與虛置閘極72的上表面齊平。平坦化製程也可移除虛置閘極72上的遮罩74,以及部分沿遮罩74形成的閘極密封間隙物96及閘極間隙物98。平坦化 製程後,虛置閘極72、閘極密封間隙物96、閘極間隙物98及層間介電層102的上表面共平面。因此,虛置閘極72的上表面由層間介電層102露出。
在第19A及19B圖,以蝕刻步驟移除虛置閘極72,以及位於露出的虛置閘極72正下方的虛置介電層70的部分,以形成凹陷104。在一些實施例,虛置閘極72藉由非等向性乾蝕刻製程移除。例如,蝕刻製程可包含乾蝕刻製程,其使用選擇性地對虛置閘極72蝕刻,而不蝕刻層間介電層102或閘極間隙物98的反應氣體。每一個凹陷104露出個別的鰭片58的通道區。每一個通道區設置在相鄰的一對磊晶源極/汲極區100之間。在移除的過程中,當蝕刻虛置閘極72時,虛置介電層70可作為蝕刻停止層。移除虛置閘極72後,可移除虛置介電層70。
在第20A及20B圖,形成閘極介電層110及閘極電極112來得到替代閘極。閘極介電層110共形沉積於凹陷104內,例如鰭片58的上表面和側壁上、閘極密封間隙物96/閘極間隙物98的側壁上。閘極介電層110也可形成在層間介電層102的上表面上。根據一些實施例,閘極介電層110包含氧化矽、氮化矽或上述多重層。在一些實施例,閘極介電層110包含高介電常數(high-k)介電材料,且在這些實施例,閘極介電層110可具有大於約7.0的k值,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb及上述組合的矽化物或金屬氧化物。在一些實施例,閘極介電層110為high-k介電材料,界面層(未繪示)可形成於鰭片58上,且閘極介電層110可形成於界面層上。界面層可藉由例如氧化矽形成,且可藉由例如在凹陷104內氧化鰭片而形 成。閘極介電層110的形成方法可包含分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積(Atomic Layer Deposition,ALD)、電漿增強化學氣相沉積或類似的方法。
接下來,個別在閘極介電層110上沉積閘極電極112,且填充凹陷104剩餘的部份。閘極電極112可由含金屬材料形成,例如TiN、TaN、TaC、Co、Ru、Al、W、上述組合或上述多重層。例如,雖然圖式繪示的是單層的閘極電極112,但可在凹陷104內沉積任意數量的功函數調整層。填入閘極電極112後,可執行平坦化製程(例如化學機械研磨),以移除閘極介電層110多餘的部份和閘極電極112超出層間介電層102的上表面的部份的材料。之後,留下來的閘極電極112及閘極介電層110的部份之材料因此形成了鰭式場效電晶體的替代閘極。在此,閘極電極112及閘極介電層110可合稱為「閘極」或「閘極堆疊」。閘極及閘極堆疊可沿鰭片58的通道區延伸。
可同時形成區域50B及區域50C的閘極介電層110,使得每一區的閘極介電層110由相同材料形成,可同時形成閘極電極112使得每一區的閘極電極112由相同材料形成。然而,在其他實施例,個別區域的閘極介電層110由不同製程形成,使得閘極介電層110由不同材料形成,且個別區域的閘極電極112由不同製程形成,使得閘極電極112由不同材料形成。當使用不同的製程時,可使用多個遮罩步驟以覆蓋和露出適合的區域。
在第21A及21B圖,層間介電層114形成在閘極介電 層110、閘極電極112、閘極間隙物98、閘極密封間隙物96及層間介電層102上。在一實施例,層間介電層114是藉由流動式化學氣相沉積形成的可流動的薄膜。在一些實施例,層間介電層114由介電材料形成,例如磷酸矽酸鹽玻璃、硼矽酸鹽玻璃、硼摻雜磷酸矽酸鹽玻璃、未摻雜的矽酸鹽玻璃或類似材料,且可藉由任意適合的方法沉積,例如化學氣相沉積和電漿增強化學氣相沉積。
在第22A及22B圖,形成穿過層間介電層114及層間介電層102的接觸物116及接觸物118。用來形成接觸物116的開口穿過層間介電層114及層間介電層102,且用來形成接觸物118的開口穿過層間介電層114。開口可使用適合的微影和蝕刻技術形成。襯層,例如擴散阻障層、黏著層或類似的層和傳導材料形成在開口內。襯層可包含鈦、氮化鈦、鉭、氮化鉭或類似材料。傳導材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳或類似材料。可執行平坦化製程(例如為化學機械研磨),以移除超出層間介電層114的表面的材料。剩餘的襯層及傳導材料在開口內形成接觸物116及接觸物118。可執行退火製程,個別在磊晶源極/汲極區100和接觸物116之間的界面形成矽化物。接觸物116物理且電性耦接至磊晶源極/汲極區100,而接觸物118物理且電性耦接至閘極電極112。接觸物116及接觸物118可由不同的製程形成,或者,可由相同的製程形成。雖然圖式繪示的是形成在相同的剖面,值得注意的是,接觸物116及接觸物118可個別形成在不同的剖面,以避免接觸物116及接觸物118之間發生短路。
本發明實施例可達成許多功效。藉由使用電漿摻雜製程對間隙物層摻雜硼,以及使用高溫退火製程對摻雜質執行退火,在由鰭片頂部朝向鰭片底部的方向,區域50B的LDD區92會具有梯度的摻雜質濃度,且通道區可實質上不含有硼。藉此可減少形成的鰭式場效電晶體裝置的電阻(例如,接觸電阻)。根據一些實施例,和藉由離子植入方法得到的摻雜質濃度相比,在鰭片底部的摻雜質濃度可大8倍至15倍。此外,使用SPM的高溫遮罩移除製程可減少鰭片的高度流失,藉此避免劣化鰭式場效電晶體裝置的開通電流。此外,在退火製程的期間,在周遭氣體環境導入O2,能減少摻雜質的揮發(例如,硼),藉此改善LDD區92的摻雜質濃度,且避免機台因摻雜質的揮發所引起的安全問題。
在一些實施例,提供半導體裝置的製造方法,其包含形成第一鰭片於基底的第一區上及第二鰭片於基底的第二區上。上述方法亦包含形成第一閘極堆疊於第一鰭片上,及形成第二閘極堆疊於第二鰭片上。上述方法更包含形成間隙物層於第一鰭片、第二鰭片、第一閘極堆疊及第二閘極堆疊上。此外,上述方法包含形成第一遮罩於第二區的間隙物層上,且第一遮罩覆蓋第二鰭片。形成第一遮罩後,將具有第一摻雜型態的第一摻雜質植入第一鰭片上方的間隙物層內。上述方法亦包含移除第一遮罩。上述方法更包含執行第一退火製程,以將第一摻雜質導入第一鰭片,以及磊晶成長第一源極/汲極區於第一鰭片內。
在一些實施例,將具有第一摻雜型態的第一摻雜 質植入間隙物層內包含:在電漿摻雜製程的第一脈衝的期間,形成第一摻雜質層於間隙物層及第一遮罩上;以及在電漿摻雜製程的第二脈衝的期間,將第一摻雜質植入間隙物層內。在一些實施例,移除第一遮罩包含:在電漿摻雜製程後,藉由濕蝕刻製程移除第一摻雜質層及第一遮罩。在一些實施例,執行第一退火製程時,將第一摻雜質導入第一鰭片及第一閘極堆疊。在一些實施例,執行濕蝕刻製程時,使用硫酸過氧化氫混合物(SulfuricPeroxide Mixture,SPM)溶液。在一些實施例,執行濕蝕刻製程的時間介於約30秒至約120秒的範圍間,且溫度介於約150℃至約180℃的範圍間。在一些實施例,將具有第一摻雜型態的第一摻雜質植入間隙物層更包括:在電漿摻雜製程的第二脈衝的期間,將第一摻雜質植入第一遮罩。在一些實施例,其中執行第一退火製程時,將第一摻雜質導入第一閘極堆疊下方的第一鰭片內。在一些實施例,上述方法更包含:形成隔離區鄰近第一鰭片,其中執行第一退火製程時,將第一摻雜質導入隔離區。在一些實施例,其中執行第一退火製程後,更包含:形成第二遮罩於第一區的間隙物層上,第二遮罩覆蓋第一鰭片;形成第二遮罩後,將與第一摻雜型態不同的第二摻雜型態的第二摻雜質植入第二鰭片上的間隙物層;植入第二摻雜質後,移除第二遮罩;執行第二退火製程,將第二摻雜質導入第二鰭片;以及磊晶成長第二源極/汲極區於第二鰭片內。
在一些實施例,提供半導體裝置的製造方法,其包形成由基底凸出的半導體鰭片。上述方法亦包含沉積間隙物層於半導體鰭片上。沉積間隙物層於半導體鰭片上後,以輪流 重複的步驟將第一摻雜質植入間隙物層,且沉積第一摻雜質的摻雜質層於間隙物層上。上述方法更包含移除摻雜質層。此外,上述方法包含執行熱退火製程,將第一摻雜質從間隙物層導入半導體鰭片。
在一些實施例,將第一摻雜質植入間隙物層及沉積第一摻雜質的摻雜質層於間隙物層上包含:藉由電漿摻雜製程摻雜間隙物層。在一些實施例,執行電漿摻雜製程時使用氣體源,其包含第一前驅物氣體、第二前驅物氣體及惰性氣體,且第一前驅物氣體包含第一摻雜質。在一些實施例,第一前驅物氣體包含B,第二前驅物氣體包含H2,且惰性氣體包含Ar。在一些實施例,氣體源包含介於約5%至約15%的第一前驅物氣體,介於約30%至約85%的第二前驅物氣體,及介於約33%至約66%的惰性氣體。在一些實施例,其中執行電漿摻雜製程時,植入能量介於約0.1KV至約3KV的範圍間。
在一些實施例,提供半導體裝置。上述半導體裝置包含從基底延伸的鰭片。上述半導體裝置亦包含圍繞鰭片的隔離區,且隔離區摻雜具有第一摻雜型態的第一摻雜質。上述半導體裝置更包含位於鰭片上閘極堆疊。此外,上述半導體裝置包含閘極間隙物於鰭片上,且與閘極堆疊相鄰,閘極間隙物具有第一摻雜質。上述半導體裝置亦包含輕摻雜區於鰭片內,且位於閘極間隙物下方,輕摻雜區具有第一摻雜質。上述半導體裝置更包含磊晶源極/汲極區於鰭片內,磊晶源極/汲極區延伸穿過輕摻雜區,磊晶源極/汲極區具有第一摻雜型態。
在一些實施例,位於輕摻雜區內的第一摻雜質的 濃度,由輕摻雜區的頂部朝向輕摻雜區的底部的方向逐漸減少,且位於隔離區內的第一摻雜質的濃度,由隔離區的頂部朝向隔離區的底部的方向逐漸減少。在一些實施例,其中閘極堆疊被摻雜第一摻雜質。在一些實施例,其中閘極堆疊沒有第一摻雜質。
以上敘述許多實施例的特徵,使所屬技術領域中具有通常知識者能夠清楚理解本揭示的概念。所屬技術領域中具有通常知識者能夠理解,其可利用本發明揭示內容作為基礎,以設計或更動其他製程及結構而完成相同於上述實施例的目的及/或達到相同於上述實施例的優點。所屬技術領域中具有通常知識者亦能夠理解,不脫離本揭示之精神和範圍的等效構造可在不脫離本揭示之精神和範圍內作各種之更動、替代與潤飾。

Claims (20)

  1. 一種半導體裝置的製造方法,包括:形成一第一鰭片於一基底的一第一區上及一第二鰭片於該基底的一第二區上;形成一第一閘極堆疊於該第一鰭片上及一第二閘極堆疊於該第二鰭片上;形成一間隙物層於該第一鰭片、該第二鰭片、該第一閘極堆疊及該第二閘極堆疊上;形成一第一遮罩於該第二區的該間隙物層上,且該第一遮罩覆蓋該第二鰭片;形成該第一遮罩後,將具有一第一摻雜型態的一第一摻雜質植入該第一鰭片上方的該間隙物層內;移除該第一遮罩;執行一第一退火製程,以將該第一摻雜質導入該第一鰭片;以及磊晶成長一第一源極/汲極區於該第一鰭片內。
  2. 如申請專利範圍第1項所述之方法,其中將具有該第一摻雜型態的該第一摻雜質植入該間隙物層內包括:在一電漿摻雜製程的一第一脈衝的期間,形成一第一摻雜質層於該間隙物層及該第一遮罩上;以及在該電漿摻雜製程的一第二脈衝的期間,將該第一摻雜質植入該間隙物層內。
  3. 如申請專利範圍第2項所述之方法,其中移除該第一遮罩包括: 在該電漿摻雜製程後,藉由一濕蝕刻製程移除該第一摻雜質層及該第一遮罩。
  4. 如申請專利範圍第3項所述之方法,其中執行該第一退火製程時,將該第一摻雜質導入該第一鰭片及該第一閘極堆疊。
  5. 如申請專利範圍第3項所述之方法,其中執行該濕蝕刻製程時,使用一硫酸過氧化氫混合物(Sulfuric Peroxide Mixture,SPM)溶液。
  6. 如申請專利範圍第5項所述之方法,其中執行該濕蝕刻製程的時間介於約30秒至約120秒的範圍間,且溫度介於約150℃至約180℃的範圍間。
  7. 如申請專利範圍第2項所述之方法,其中將具有該第一摻雜型態的該第一摻雜質植入該間隙物層更包括:在該電漿摻雜製程的該第二脈衝的期間,將該第一摻雜質植入該第一遮罩。
  8. 如申請專利範圍第1項所述之方法,其中執行該第一退火製程時,將該第一摻雜質導入該第一閘極堆疊下方及該第一鰭片內。
  9. 如申請專利範圍第1項所述之方法,更包括:形成與該第一鰭片相鄰的隔離區,其中執行該第一退火製程時,將該第一摻雜質導入該些該隔離區。
  10. 如申請專利範圍第1項所述之方法,其中執行該第一退火製程後,更包括:形成一第二遮罩於該第一區的該間隙物層上,該第二遮罩覆蓋該第一鰭片; 形成該第二遮罩後,將與該第一摻雜型態不同的一第二摻雜型態的一第二摻雜質植入該第二鰭片上的該間隙物層;植入該第二摻雜質後,移除該第二遮罩;執行一第二退火製程,將該第二摻雜質導入該第二鰭片;以及磊晶成長一第二源極/汲極區於該第二鰭片內。
  11. 一種半導體裝置的製造方法,包括:形成由一基底凸出的一半導體鰭片;沉積一間隙物層於該半導體鰭片上;沉積該間隙物層於該半導體鰭片上後,以交替重複的步驟將一第一摻雜質植入該間隙物層,且沉積該第一摻雜質的一摻雜質層於該間隙物層上;移除該摻雜質層;以及執行一熱退火製程,將該第一摻雜質從該間隙物層導入該半導體鰭片。
  12. 如申請專利範圍第11項所述之方法,其中將該第一摻雜質植入該間隙物層及沉積該第一摻雜質的該摻雜質層於該間隙物層上包括:藉由一電漿摻雜製程摻雜該間隙物層。
  13. 如申請專利範圍第12項所述之方法,其中執行該電漿摻雜製程時使用一氣體源,其包括一第一前驅物氣體、一第二前驅物氣體及一惰性氣體,且該第一前驅物氣體包括該第一摻雜質。
  14. 如申請專利範圍第13項所述之方法,其中該第一前驅物氣 體包括B,該第二前驅物氣體包括H 2,且該惰性氣體包括Ar。
  15. 如申請專利範圍第13項所述之方法,其中該氣體源包括介於約5%至約15%的該第一前驅物氣體,介於約30%至約85%的該第二前驅物氣體,及介於約33%至約66%的該惰性氣體。
  16. 如申請專利範圍第15項所述之方法,其中執行該電漿摻雜製程時,植入能量介於約0.1KV至約3KV的範圍間。
  17. 一種半導體裝置,包括:一鰭片,從一基底延伸;一隔離區,圍繞該鰭片,且該隔離區被摻雜一第一摻雜型態的一第一摻雜質;一閘極堆疊,位於該鰭片上;一閘極間隙物,位於該鰭片上且與該閘極堆疊相鄰,該閘極間隙物被摻雜該第一摻雜質;一輕摻雜區,位於該鰭片內,且位於該閘極間隙物下方,該輕摻雜區被摻雜該第一摻雜質;以及一磊晶源極/汲極區,位於該鰭片內,該磊晶源極/汲極區延伸穿過該輕摻雜區,該磊晶源極/汲極區具有該第一摻雜型態。
  18. 如申請專利範圍第17項所述之半導體裝置,其中位於該輕摻雜區內的該第一摻雜質的一濃度,由該輕摻雜區的一頂部朝向該輕摻雜區的一底部的一方向逐漸減少,且位於該隔離區內的該第一摻雜質的一濃度,由該隔離區的一頂部朝向該隔離區的一底部的一方向逐漸減少。
  19. 如申請專利範圍第17項所述之半導體裝置,其中該閘極堆疊被摻雜該第一摻雜質。
  20. 如申請專利範圍第17項所述之半導體裝置,其中該閘極堆疊沒有該第一摻雜質。
TW107108629A 2017-09-29 2018-03-14 半導體裝置及其製造方法 TWI724285B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762565752P 2017-09-29 2017-09-29
US62/565,752 2017-09-29
US15/816,259 US10700197B2 (en) 2017-09-29 2017-11-17 Semiconductor device and method
US15/816,259 2017-11-17

Publications (2)

Publication Number Publication Date
TW201916116A true TW201916116A (zh) 2019-04-16
TWI724285B TWI724285B (zh) 2021-04-11

Family

ID=65898180

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107108629A TWI724285B (zh) 2017-09-29 2018-03-14 半導體裝置及其製造方法

Country Status (3)

Country Link
US (3) US10700197B2 (zh)
CN (1) CN109585292A (zh)
TW (1) TWI724285B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11101353B2 (en) 2019-04-17 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11139432B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming a FinFET device
US11527653B2 (en) * 2020-07-22 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4551811B2 (ja) * 2005-04-27 2010-09-29 株式会社東芝 半導体装置の製造方法
US8030718B2 (en) * 2008-09-12 2011-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Local charge and work function engineering on MOSFET
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8785286B2 (en) * 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US8975672B2 (en) * 2011-11-09 2015-03-10 United Microelectronics Corp. Metal oxide semiconductor transistor and manufacturing method thereof
US10263108B2 (en) * 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
US9558946B2 (en) * 2014-10-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9577070B2 (en) * 2014-11-26 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming
US9443956B2 (en) * 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9978866B2 (en) * 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
CN114300363A (zh) * 2015-09-16 2022-04-08 蓝枪半导体有限责任公司 半导体元件及其制作方法
TWI660438B (zh) * 2015-09-23 2019-05-21 聯華電子股份有限公司 半導體元件及其製作方法
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US11018259B2 (en) * 2015-12-17 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device comprising gate structure and doped gate spacer
US10326003B2 (en) * 2016-11-28 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming
US10700181B2 (en) * 2016-11-28 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure and method for forming the same
US10115808B2 (en) * 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
US10629494B2 (en) * 2017-06-26 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10727226B2 (en) * 2017-07-18 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US10665697B2 (en) * 2018-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
US20190103491A1 (en) 2019-04-04
CN109585292A (zh) 2019-04-05
US10700197B2 (en) 2020-06-30
TWI724285B (zh) 2021-04-11
US20200321465A1 (en) 2020-10-08
US20220285552A1 (en) 2022-09-08
US11342454B2 (en) 2022-05-24

Similar Documents

Publication Publication Date Title
US11456373B2 (en) Semiconductor device and method
TWI696289B (zh) 半導體裝置及其形成方法
US20220285552A1 (en) Semiconductor Device and Method
US11640977B2 (en) Non-conformal oxide liner and manufacturing methods thereof
TW202147433A (zh) 半導體裝置及其形成方法
US10867860B2 (en) Methods of forming FinFET device
TWI768678B (zh) 半導體結構及其形成方法
US20220384617A1 (en) Semiconductor Device and Method
US20220352313A1 (en) Semiconductor Device and Method
US11769821B2 (en) Semiconductor device having a corner spacer
TW201905978A (zh) 半導體裝置的製造方法
US11043576B2 (en) FinFET device and method
US11398384B2 (en) Methods for manufacturing a transistor gate by non-directional implantation of impurities in a gate spacer
TWI786704B (zh) 半導體裝置及其製造方法
TWI795774B (zh) 填充結構及其製造方法
US20240021619A1 (en) Finfet device and method
US20230008994A1 (en) Semiconductor device with dielectric layer and method of forming the same
TW202109885A (zh) 半導體裝置