TW201905978A - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法

Info

Publication number
TW201905978A
TW201905978A TW106139136A TW106139136A TW201905978A TW 201905978 A TW201905978 A TW 201905978A TW 106139136 A TW106139136 A TW 106139136A TW 106139136 A TW106139136 A TW 106139136A TW 201905978 A TW201905978 A TW 201905978A
Authority
TW
Taiwan
Prior art keywords
fin
region
gate
layer
spacer
Prior art date
Application number
TW106139136A
Other languages
English (en)
Inventor
詹佳玲
林彥君
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201905978A publication Critical patent/TW201905978A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/207Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds further characterised by the doping material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種半導體裝置的製造方法,包括形成間隔層於半導體鰭片之上,此半導體鰭片突出於基板上,當此間隔層覆蓋半導體鰭片之源極/汲極區域時,使用第一摻質摻雜此間隔層及在摻雜之後進行熱退火製程。

Description

半導體裝置的製造方法
本發明實施例係關於半導體積體電路,特別關於鰭式場效電晶體的形成方法。
半導體工業由於各種電子部件(例如,電晶體、二極體、電阻器、電容器等)之積集度持續演進而經歷快速成長。一般來說,積集度的演進來自最小特徵尺寸的不斷縮小,其允許在一給定面積內整合更多的部件。
各特徵尺寸隨著電晶體尺寸縮小而縮小。舉例來說,在鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)裝置中,相鄰鰭片之間的節距(pitch)(例如,距離)變得很小以致於在佈植摻質期間,相鄰鰭片間的微小節距限制了佈植的角度,其可能導致鰭式場效電晶體裝置之鰭片中的摻質分布不均勻。此技術領域中需要能夠配合此些先進製程科技中之微小特徵尺寸的製程方法。
在一些實施例中,本發明之方法包括形成間隔層於半導體鰭片之上,此半導體鰭片突出於基板上,當此間隔層覆蓋半導體鰭片之源極/汲極區域時,使用第一摻質摻雜此間隔層及在摻雜之後進行熱退火製程。
在一些其他的實施例中,本發明之方法包括形成第一鰭片於半導體裝置之第一區域中且形成一第二鰭片於半導體裝置之第二區域中、形成一間隔層於第一鰭片及第二鰭片之上且形成一第一遮罩層於第二區域中之間隔層之上,此第一遮罩層覆蓋第二鰭片,且第一鰭片與第一遮罩層隔開。此方法亦包括在形成第一遮罩層之後,佈植具有第一摻雜型態之一第一摻質至位於第一鰭片之上的第一間隔層中,其中在佈植第一摻質期間,此間隔層覆蓋第一鰭片之源極/汲極區域。此方法更包括在佈植第一摻質之後,去除第一遮罩層,且進行一第一退火製程。
在其他實施例中,本發明之方法包括形成一第一鰭片,此第一鰭片突出於半導體裝置之第一區域之基板上、形成一第二鰭片,此第二鰭片突出於半導體裝置之第二區域之基板上、沉積一間隔層於第一鰭片及第二鰭片之上且使用光阻覆蓋第二區域中之間隔層,其中此光阻露出位於第一區域中之間隔層。此方法亦包括使用包括砷及氙之一氣體進行一電漿製程,其中第二區域中之間隔層藉由此光阻屏蔽於此電漿製程,且第一鰭片之源極/汲極區域藉由此間隔層屏蔽於此電漿製程,其中此電漿製程佈植砷於此間隔層中。此方法更包括在進行電漿製程之後,使用過氧化氫硫酸混合物溶液去除光阻,且在去除光阻之後,於包括氧氣及氮氣之一周圍環境中進行一退火製程以將被佈植的砷自間隔層驅入第一鰭片之源極/汲極區域中。
30‧‧‧場效電晶體
32、50‧‧‧基板
34‧‧‧隔離區
36‧‧‧鰭片
38‧‧‧閘極介電層
40‧‧‧閘極電極
42、44‧‧‧源極/汲極區域
50A、50B‧‧‧區域
52‧‧‧墊氧化層
56‧‧‧墊氮化層
58‧‧‧圖案化遮罩
60‧‧‧半導體條
61‧‧‧溝槽
62‧‧‧隔離區域
64‧‧‧鰭片
66‧‧‧閘極介電層
68‧‧‧閘極
70‧‧‧遮罩
72‧‧‧閘極密封間隔物
74‧‧‧光阻
75‧‧‧閘極結構
76‧‧‧砷粒子
77‧‧‧沉積層
78‧‧‧氙粒子
80‧‧‧源極/汲極區域
86‧‧‧閘極間隔物
90‧‧‧層間介電層
92‧‧‧接觸點
96‧‧‧閘極介電層
98‧‧‧閘極電極
100‧‧‧場效電晶體裝置
102‧‧‧接觸點
810‧‧‧退火製程
1000‧‧‧流程圖
1010、1020、1030‧‧‧步驟
A-A、B-B、C-C‧‧‧剖面
為了更全面的了解本發明及其中的優點,以下將 配合所附圖式詳述本發明實施例,其中:第1圖係鰭式場效電晶體之三維視圖。
第2-14圖係根據一些實施例繪示出形成鰭式場效電晶體裝置的製程之各階段剖面圖。
第15圖係根據一些實施例繪示之半導體裝置之形成方法的製程流程圖。
以下的揭示內容提供許多不同的實施例或範例,以展示本發明的不同特徵。以下將揭示本說明書各部件及其排列方式之特定範例,用以簡化本發明敘述。當然,這些特定範例並非用於限定本發明。例如,若是本說明書以下的發明內容敘述了將形成第一結構於第二結構之上或上方,即表示其包括了所形成之第一及第二結構是直接接觸的實施例,亦包括了尚可將附加的結構形成於上述第一及第二結構之間,則第一及第二結構為未直接接觸的實施例。此外,本發明說明中的各式範例可能使用重複的參照符號及/或用字。這些重複符號或用字的目的在於簡化與清晰,並非用以限定各式實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖示中一元件或特徵部件與另一(些)元件或特徵部件的關係,可使用空間相關用語,例如「在...之下」、「下方」、「下部」、「上方」、「上部」及諸如此類用語。除了圖示所繪示之方位外,空間相關用語亦涵蓋使用或操作中之裝置的不同方位。當裝置被轉向不同方位時(例如, 旋轉90度或者其他方位),則其中所使用的空間相關形容詞亦將依轉向後的方位來解釋。
第1圖為鰭式場效電晶體30之三維視圖。場效電晶體30包括具有鰭片36之基板32。鰭片36突出於設置在鰭片36相對側之隔離區34。沿著鰭片36之側壁邊緣及頂表面上方有一閘極介電層38,且閘極電極40位於閘極介電層38之上。源極/汲極區域42及44位於與閘極介電層38及閘極電極40相對側的鰭片中。第1圖更繪示出使用於後續圖示中之參考剖面。剖面B-B沿著鰭式場效電晶體30之閘極電極40的縱軸延伸。剖面C-C平行於剖面B-B且橫跨源極/汲極區域42。剖面A-A垂直於剖面B-B且沿著鰭片36之縱軸延伸及朝著著某一方向,舉例來說,源極/汲極區域42及44之間的電流方向。為求清晰,後續圖示參考此些參考剖面。
第2至14圖係根據一些實施例繪示出形成鰭式場效電晶體裝置100的製程之各階段剖面圖。除了擁有複數鰭片以外,鰭式場效電晶體裝置100與第1圖中之鰭式場效電晶體30相似。第2至5圖為鰭式場效電晶體裝置100沿著剖面B-B繪示出之剖面圖。第6至10圖為沿著剖面C-C繪示出之剖面圖且第11至14圖為沿著剖面A-A繪示出之剖面圖。
第2圖繪示一基板50。基板50可為半導體基板,例如基體(bulk)半導體、絕緣層上半導體(semiconductor-on-insulator,SOI)基板或相似基板,此基板可被摻雜(例如,使用p型或n型摻質)或不摻雜。基板50可為一晶圓,例如矽晶圓。一般而言,絕緣層上半導體基板包括形成 一半導體材料層於絕緣層之上。舉例來說,此絕緣層可為埋藏氧化(buried oxide,BOX)層、氧化矽層等。提供此絕緣層位於一基板之上,通常為矽或玻璃基板。可使用其他的基板,例如多層或梯度(gradient)基板。在一些實施例中,基板50之半導體材料可包括矽;鍺;包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦之化合物半導體;包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP之合金半導體或上述之組合。
基板50可包括積體電路裝置(未繪示)。正如本領域技術人員所認知的,可形成不同形式的積體電路裝置,例如電晶體、二極體、電容器、電阻器、相似裝置或上述之組合於基板50之中及/或之上,以符合鰭式場效電晶體所設計之結構與功能上的需求。可使用任意合適方法形成此些積體電路裝置。
基板50具有第一區域50A及第二區域50B。區域50A可供形成n型裝置,例如n型金氧半導體(NMOS)電晶體、n型鰭式場效電晶體。區域50B可供形成p型裝置,例如p型金氧半導體(PMOS)電晶體、p型鰭式場效電晶體。
如第3圖所示,圖案化如第2圖所示之基板50。舉例來說,可使用光微影技術圖案化基板50。例如,在基板50上形成遮罩層,其可為墊(pad)氧化層52及墊氧化層52上之墊氮化層56。墊氧化層52可為一包括氧化矽之薄膜,舉例來說,使用熱氧化製程形成此氧化矽。此墊氧化層52可作為基板50及墊氧化層52上之墊氮化層56之間的黏著層。在一 些實施例中,墊氮化層56之成分為氮化矽、氮氧化矽、碳化矽、碳氮化矽、相似成分或上述之組合,且可使用如低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)法或電漿增強化學氣相沉積(plasma enhanced CVD,PECVD)法等方法形成墊氮化層56。
可使用光微影技術圖案化遮罩層。一般而言,光微影技術之使用為,沉積光阻材料(未繪示)、曝光及顯影,以去除部分的光阻材料。殘餘的光阻材料保護位於其下方的材料(例如此示例中之遮罩層)屏蔽於後續之製程步驟(例如,蝕刻)。如第3圖所示,在此示例中,使用此光阻材料圖案化墊氧化層52及墊氮化層56以形成一圖案化遮罩58。
如第3圖所示,接著使用圖案化遮罩58以圖案化基板50之露出部分以形成溝槽61,從而定義半導體條(semiconductor strips)60位於相鄰溝槽61之間。在一些實施例中,藉由蝕刻基板50中之溝槽形成此些半導體條60。此蝕刻可為任意適用之蝕刻法,例如活性離子蝕刻(reactive ion etch,RIE)、中性粒子束蝕刻(neutral beam etch,NBE)、相似蝕刻法或上述之組合。此蝕刻可為非等向性。在一些實施例中,此些溝槽61可為條狀(由上方俯視)且彼此平行,且彼此間間隔緊密。在一些實施例中,此些溝槽61可為連續的且環繞半導體條60。在形成半導體條60之後,可能藉由蝕刻或任意合適方法去除圖案化遮罩層58。
第4圖顯示在相鄰半導體條60之間形成一絕緣材料以形成隔離區域62。此絕緣區域可為氧化物,例如氧化矽、 氮化物、其他相似材料或上述之組合,且可藉由高密度電漿增強化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如,在遠端電漿系統中沉積一化學氣相沉積基質(CVD-based)材料,且後硬化(post curing)以使其轉變為另一材料,例如氧化物)、相似方法或上述之組合。可使用任意合適之製程形成其他絕緣材料。在所述之實施例中,此絕緣材料為使用流動式化學氣相沉積形成之氧化矽。一旦形成此絕緣材料,即可進行退火製程。平坦化製程,例如化學機械拋光(chemical mechanical polish,CMP),可去除任何過量之絕緣材料(以及硬遮罩56,如果有的話)且相互共平面之隔離區域62之頂表面及半導體條60之頂表面(未繪示)。
接下來,對隔離區域62進行凹蝕以形成淺溝槽隔離(shallow trench isolation,STI)區域62。對隔離區域62進行凹蝕,以使半導體條60的上方部分從相鄰的隔離區域62中突出且形成半導體鰭片64(亦稱為鰭片64)。隔離區域62之頂表面可具有一平坦面(如圖所示)、凸面、凹面(如碟狀)或上述之組合。可藉由一適當蝕刻形成平坦、凸出及/或凹陷之隔離區域62之頂表面。可使用可接受的蝕刻製程以對隔離區域62進行凹蝕,例如此處針對隔離區域62所選擇的蝕刻製程。舉例來說,可採用使用CERTAS®蝕刻、應用材料公司(Applied Materials)的SICONI工具或稀氫氟酸(dilute hydrofluoric,dHF)的化學氧化物去除(chemical oxide removal)。
第2至4圖為形成鰭片64之實施例,但可使用各種不同的製程形成此些鰭片。在一示例中,可形成介電層於基板之頂表面上;可通過此介電層蝕刻溝槽;可磊晶成長同質磊晶(homoepitaxial)結構於此些溝槽中;且可對此介電層進行凹蝕以使此同質磊晶結構自介電層突出以形成鰭片。在其他示例中,異質磊晶結構可被使用於此些鰭片。舉例來說,可對半導體條進行凹蝕,且磊晶成長一不同於此半導體條之材料於此;在另一個示例中,可形成介電層於基板之頂表面之上;可通過此介電層蝕刻溝槽;可使用一不同於基板之材料磊晶成長異質磊晶結構於此些溝槽中;且可對此介電層進行凹蝕以使此異質磊晶結構自此介電層突出以形成鰭片。在一些實施例中,無論同質磊晶結構或異質磊晶結構皆為磊晶成長,在成長期間可原位摻雜(in situ dope)此些成長中之材料,此可免除之前或後續的佈植,雖然原位摻雜和佈植摻雜可被一起使用。另外,於NMOS區域中磊晶成長一不同於PMOS區域材料的材料可能是有優勢的。在各式實施例中,此些鰭片可包括矽鍺化合物(SixGe1-x,其中X可在大約0至1)、碳化矽、純或大體上純的鍺、三五族化合物半導體、二六族化合物半導體或相似材料。舉例來說,形成三五族化合物半導體之可行材料包括InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP或相似材料,但本發明之實施例並不限定於此。
如第5圖所示,形成閘極結構75於第一區域50A及第二區域50B中之半導體鰭片64之上。形成介電層(未繪示)於半導體鰭片64及隔離區域62上。舉例來說,此介電層可為 氧化矽、氮化矽、前述材料組成之多層膜或相似材料,且可根據可接受的技術沉積或熱成長此些材料。在一些實施例中,此介電層可為高介電常數(high-k)介電材料,且在此些實施例中,介電層可具有大於大約0.7之介電常數,且可能包括一氧化金屬或Hf、Al、Zr、La、Mg、Ba、Ti、Pb之矽酸鹽、前述材料組成之多層膜或上述之組合。此介電層之形成方法可包括分子束沉積(molecular-beam deposition,MBD)、原子層沉積(atomic layer deposition,ALD)、電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)或相似技術。
形成一閘極層(未繪示)於此介電層之上,且形成一遮罩層(未繪示)於此閘極層之上。可在沉積此閘極層於此介電層上之後接著平坦化,例如藉由化學機械拋光法。可沉積此遮罩層於閘極層之上。舉例來說,此閘極層可由多晶矽形成,雖然亦可能使用其他的材料。在一些實施例中,此閘極層可包括含有金屬的材料,例如TiN、TaN、TaC、Co、Ru、Al、上述之組合或前述材料組成之多層膜。舉例來說,形成此遮罩層之成分可為氮化矽或相似材料。
在形成此些層之後,可使用可接受的光微影製程及蝕刻技術圖案化此遮罩層以形成遮罩70。接著此遮罩70之圖案可藉由可接受的蝕刻技術轉移至閘極層及介電層以分別形成閘極68及閘極介電層66。此閘極68及閘極介電層66覆蓋對應的半導體鰭片64之通道區域。閘極68亦可具有一縱方向,此閘極68之縱方向大體上垂直於其對應的半導體鰭片64之縱方向。
第6至10圖係沿著剖面C-C(橫跨源極/汲極中之鰭片)繪示出鰭式場效電晶體裝置100之剖面圖。首先根據第6圖,形成閘極密封間隔物(亦可稱為間隔層)72於隔離區域62、半導體鰭片64、閘極68和第一區域50A及第二區域50B之遮罩70的露出表面上。在一些實施例中,毯覆性地(blanketly)形成閘極密封間隔物72於隔離區域62、半導體鰭片64、閘極68和第一區域50A及第二區域50B中之遮罩70之上。熱氧化或沉積製程可形成此閘極密封間隔物72,閘極密封間隔物72可具有一大約在35埃(angstrom)至45埃之厚度,例如43埃。在一些實施例中,此閘極密封間隔物72可由氮化物形成,例如氮化矽、氮氧化矽、碳化矽、氮碳化矽、相似材料或上述之組合。
接下來,如第7圖所示,形成遮罩層74(例如,光阻)以覆蓋第二區域50B之鰭片64。在一些實施例中,形成一光阻於鰭片64、閘極68、遮罩70和第一區域50A及第二區域50B之隔離區域62之上。接著圖案化此光阻以露出第一區域50A(例如,NMOS區域),同時此光阻仍覆蓋第二區域50B。可使用旋轉塗佈(spin-on)技術形成此光阻且可使用可接受的光微影製程技術圖案化此光阻。此遮罩層74在此後之敘述中可稱為光阻74,但亦可任意使用合適的遮罩層。
根據第8圖,對鰭式場效電晶體裝置100進行電漿製程。在一些實施例中,此電漿製程為電漿摻雜製程。在一些實施例中,此電漿摻雜製程沉積具有摻質之一沉積層77於閘極密封間隔物72上且佈植此摻質進入閘極密封間隔物72中。 在所述實施例中,在電漿摻雜製程中使用N型摻質(例如,砷(As))以摻雜第一區域50A(例如,NMOS區域)之閘極密封間隔物72,此時光阻74保護第二區域50B(例如,PMOS區域)屏蔽於電漿摻雜製程。電漿摻雜製程可使用包含第一區域50A(例如,NMOS區域)之合適摻質(例如,N型摻質)及一惰性氣體之氣體源。舉例來說,此摻質可為砷,且此惰性氣體可為氙(Xe)、氦(He)、氬(Ar)、氖(Ne)、氪(Kr)、相似氣體或上述之組合。第8圖所示之範例為非限制性示例,可使用其他合適之摻質。在一示例中,可使用磷(P)作為N型摻質。在一其它的示例中,可使用硼(B)作為P型摻質。
在一範例中,進行此電漿摻雜製程所使用之氣體源包括大約5%至10%的砷及大約90%至95%的氙,搭配砷之氣體流量大約在30標準立方公分/分鐘(standard cubic centimeter per minute,sccm)至90sccm、氙之氣體流量大約在80sccm至200sccm,且此佈植能量大約在0.5KV至2.5KV。此摻質(例如,砷)的劑量大約在1019個原子/立方公分至1021個原子/立方公分。可藉由任何產生電漿之合適方法以活化此氣體源(例如,砷及氙)成為電漿態,例如變壓器耦合型電漿產生器(transformer coupled plasma generator)、感應耦合型電漿(inductively coupled plasma)系統、磁場強化反應性離子蝕刻(magnetically enhanced reactive ion etching)、電子迴旋共振(electron cyclotron resonance)、遠端電漿產生器或相似裝置。
如第8圖所示,此電漿摻雜製程沉積包括摻質(例如,砷)之沉積層77於鰭式場效電晶體裝置100上。此沉積層 77之厚度可大約在5奈米至6奈米。第8圖亦繪示電漿摻雜製程中之砷粒子(例如,離子)76及氙粒子(例如,離子)78。氙粒子78可能與砷粒子76碰撞且將砷離子76敲擊進更深的閘極密封間隔物72中。舉例來說,氙粒子78可敲擊砷粒子76通過沉積層77且進入第一區域50A之閘極密封間隔物72中。此砷粒子76亦可進入光阻74中,此光阻74將於後續製程中被去除,因此此光阻74保護第二區域50B(例如,PMOS區域)屏蔽於第8圖所述之摻雜製程。
根據第9圖,使用濕蝕刻製程或其他合適方法去除光阻74。在一些實施例中,使用過氧化氫硫酸混合物(Sulfuric Peroxide Mixture,SPM)進行濕蝕刻製程,過氧化氫硫酸混合物為包括H2SO4及H2O2之酸。過氧化氫硫酸混合物可更包括一標準清潔液1(SC-1 cleaning solution),此標準清潔液1為NH4OH、H2O2及去離子水之混合物。在一些實施例中,過氧化氫硫酸混合物在光阻74及閘極密封間隔物72之間具有蝕刻選擇性,致使過氧化氫硫酸混合物在大體上不作用於閘極密封間隔物72之情況下去除光阻74。控制濕蝕刻製程之條件(例如,時間、溫度)以使濕蝕刻製程在大體上不去除嵌入於第一區域50A之閘極密封間隔物72中之砷離子76的情況下去除光阻74及沉積層77。在一範例中,使用大約150℃至180℃之高溫過氧化氫硫酸混合物溶液、在大約30至60秒的時間間隔內(例如,45秒)進行濕蝕刻製程。
前述使用過氧化氫硫酸混合物進行之濕蝕刻製程的時間及溫度可被調整以與佈植能量(例如,大約在0.5KV至 2.5KV)協作,以減少矽損失(例如,鰭片高度損失)及提升鰭式場效電晶體裝置100之元件開電流Ion。舉例來說,前述濕蝕刻製程的配方導致極少或沒有鰭片高度損失(例如0奈米至1奈米)且鰭式場效電晶體裝置100之元件開電流Ion的降低少於2%。相對地,較長時間的濕蝕刻製程(例如,120秒)或較高的佈植能量等級(例如,3KV)可能導致3奈米的鰭片高度損失及大約6%的鰭式場效電晶體裝置100之元件開電流Ion劣化。另一方面,較短時間的濕蝕刻製程(例如,大約少於30秒),可能無法完全去除光阻74及閘極密封間隔物72。
現在參見第10圖,進行退火製程810。此退火製程與摻雜製程可能進行於同一個腔體。或者,此退火製程與摻雜製程可能進行於不同的腔體。根據一些實施例,此退火製程810將嵌入閘極密封間隔物72中之砷粒子76驅入位於第一區域50A之鰭片64中。此外,此退火製程亦活化了佈植摻質(例如砷)。在一範例中,此退火製程為大約在1000℃至1050℃下(例如,1045℃)、在大約1至2秒的時間間隔內,且於一包括氧氣之周圍環境中進行之尖峰(spike)退火製程。
此高溫退火製程810(例如,1045℃)幫助將摻質砷驅入相對應的鰭片64中,然而,如此高的溫度亦增加了摻質(例如,砷)的釋氣(outgassing)。摻質的釋氣導致第一區域50A之鰭片64中形成具有較低濃度之摻質的輕摻雜汲極(lightly doped drain,LDD)區域65(如第11圖所示)。釋氣亦可能造成生產機具的安全性問題。在一些實施例中,周圍環境氣體中的氧氣降低了摻質的釋氣。舉例來說,此氧氣與第一區域50A之 鰭片64表面的砷反應(例如,氧化)且形成一氧化物薄膜(例如,砷的氧化物,未獨立顯示)於鰭片64之上。此氧化物薄膜用以防止或減少在退火製程810期間的砷的釋氣。在一範例中,此尖端退火製程810在大約1000℃至1050℃之溫度下(例如,1045℃)、包括大約2%至3%的氧氣及97%至98%的氮氣之環境氣體下進行。
雖然第8至10圖之剖面圖並未繪示,此摻雜製程亦佈植摻質(例如,砷)進入閘極密封間隔物72中,此閘極密封間隔物72位於第一區域50A之閘極結構75之上。因此,在濕蝕刻製程及退火製程之後,此摻質砷亦可能進入閘極68。然而,由於被佈植的摻質的劑量很低,閘極68中之摻質可能不會對鰭式場效電晶體裝置100的性能造成有害的影響。在閘極68於後將被一置換閘極置換(例如,於後述的閘極後製(gate-last)製程中)的實施例中,此摻質將不會影響於後形成之置換閘極的性能。
第11圖係沿著一鰭片64之剖面A-A(沿著此鰭片之縱軸方向)繪示出鰭式場效電晶體裝置100之剖面圖。如第11圖所示,在退火製程810結束之後,形成輕摻雜汲極區域65於第一區域50A之鰭片64中。第11圖更顯示在閘極密封間隔物72上、沿著閘極結構的側壁邊有一閘極間隔物86。可藉由共形沉積一材料且接著非等向性蝕刻此材料以形成閘極間隔物86。閘極間隔物86之材料可為氮化矽、SiCN、上述之組合或相似材料。接著去除閘極間隔物86之側壁外側的部份閘極密封間隔物72。在一些實施例中,非等向性蝕刻製程(例 如,乾蝕刻製程)可被用以去除閘極間隔物86之側壁外側的部份閘極密封間隔物72。此閘極密封間隔物72及閘極間隔物86之形狀及形成方法僅為非限制性示例,且其具有其他的形狀及形成方法的可能性。舉例來說,閘極間隔物86可於形成磊晶源極/汲極區域80(見第12圖)之後形成。在一些實施例中,在第12圖所示的磊晶源極/汲極區域80之磊晶製程之前,形成虛置閘極間隔物於閘極密封間隔物72上,且在形成磊晶源極/汲極區域80之後,去除此虛置閘極間隔物且置換成閘極間隔物86。
如第11圖所示,輕摻雜汲極區域65延伸至閘極密封間隔物72之下且緊臨(abut)鰭式場效電晶體裝置100之通道區域。此輕摻雜汲極區域65沿著第11圖的垂直方向(例如,沿著輕摻雜汲極區域65之上方表面至相對於輕摻雜汲極區域65之上方表面的輕摻雜汲極區域65之下方邊界的方向)具有一大體上均勻之摻質濃度。在一些實施例中,輕摻雜汲極區域65之摻質(例如,砷)的濃度於輕摻雜汲極區域65及鰭式場效電晶體裝置100之通道區域之間的界面處突然的改變。舉例來說,輕摻雜汲極區域65可具有一大體上濃度均勻的砷,且通道區域大體上不存在砷,因此摻質砷之濃度於輕摻雜汲極區域65及鰭式場效電晶體裝置100之通道區域之間的界面處大幅的改變。藉由摻質(例如,砷)的選擇可允許摻質的濃度於輕摻雜汲極區域65及鰭式場效電晶體裝置100之通道區域之間的界面處大幅改變。相對地,若選擇磷為第一區域50A(例如,NMOS區域)之摻質,則無法達到如此大幅度的摻質濃度改變。均勻 的摻質濃度及沿著輕摻雜汲極區域65及通道區域之間界面處的大幅度濃度改變可有利於減少鰭式場效電晶體裝置100產生的電阻。此外,如第11圖所示,此兩個輕摻雜汲極區域65延伸進區域77中且形成NMOS交疊區域,此NMOS交疊區域可降低通道電阻及提升鰭式場效電晶體裝置100之開電流,因此提升了鰭式場效電晶體裝置100之性能。
雖然並未繪示,可形成第二區域(例如,PMOS區域)之輕摻雜汲極區域,例如在第7至10圖所描述之製程之後及第11圖所描述之製程之前。舉例來說,可沉積一光阻且圖案化此光阻以露出第二區域50B,同時此光阻覆蓋第一區域50A。可進行電漿摻雜製程以佈植P型摻質(例如,硼)於第二區域50B之閘極密封間隔物72中。此電漿摻雜製程之氣體源可包括硼(B)及一惰性氣體,此惰性氣體例如Xe、He、Ar、Ne、Kr、相似氣體或上述之組合。第二區域50B之電漿摻雜製程之條件(例如,氣體流量、佈植能量)可相似於第一區域50A之電漿摻雜製程,故此處不再贅述。接下來,可進行一相似於第一區域50A濕蝕刻製程之一濕蝕刻製程以去除光阻及包括P型摻質(例如,硼)的沉積層,此濕蝕刻製程之條件(例如,酸的種類、溫度、時間間隔)相似於第9圖所述之濕蝕刻製程,故於此不再贅述。接下來,可進行一相似於第10圖所述退火製程之一退火製程以將P型摻質驅入第二區域50B之鰭片64中,且活化此P型摻質,因而形成第二區域50B之輕摻雜區域。
接下來,如第12圖所示,形成源極/汲極區域80於第一區域50A之鰭片64之上。藉由蝕刻鰭片64形成凹槽以 形成源極/汲極區域80,且磊晶成長一材料於此凹槽中,使用合適方法如有機金屬化學氣相沉積法(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、相似製程或上述之組合。形成一遮罩層(例如,光阻)於半導體裝置100之上且圖案化此遮罩層以露出第一區域50A及保護第二區域50B屏蔽於磊晶成長製程。
如第12圖所示,此磊晶源極/汲極區域80可具有高於鰭片64之相應表面的平面(例如,自鰭片64之非凹槽部分凸起)且具有數個刻面(facet)。相鄰鰭片64之源極/汲極區域80可合併以形成一連續的磊晶源極/汲極區域80。在一些實施例中,此些鄰接鰭片64之源極/汲極區域80並未合併且各自保持分開。在一些範例中,鰭式場效電晶體為N型鰭式場效電晶體,其源極/汲極區域80包括碳化矽(SiC)、磷化矽(SiP)、摻雜磷的碳化矽(SiCP)、或相似材料。在另一範例中,鰭式場效電晶體為P型鰭式場效電晶體,其源極/汲極區域80包括矽化鍺(SiGe)及P型雜質(例如,硼或銦)。
可使用摻質佈植磊晶源極/汲極區域80以在後續退火時形成源極/汲極區域80。此佈植製程可包括形成及圖案化多個遮罩(例如,光阻)以覆蓋鰭式場效電晶體需要被保護以屏蔽於佈植製程之區域。此源極/汲極區域80可具有大約在每立方公分1019至每立方公分1021之雜質(例如,摻質)濃度。在 一些實施例中,在成長期間可原位摻雜此磊晶源極/汲極區域80。
雖然並未繪示,亦可形成磊晶源極/汲極區域80於第二區域50B(例如,PMOS區域)之鰭片64之上,後續製程步驟相似於前述提及之第一區域50A(例如,NMOS區域)之磊晶源極/汲極區域80的製程步驟,但摻質型態及磊晶成長材料可依所欲之裝置型態(例如,P型裝置)調整。可形成一遮罩層(例如光阻)於鰭式場效電晶體裝置100之上且圖案化此遮罩層以露出第二區域50B並將第一區域50A屏蔽於磊晶成長製程。關於第二區域50B之磊晶源極/汲極區域80之形成細節於此不再贅述。
可進行鰭式場效電晶體裝置100之後續製程,例如形成一或更多個層間介電層(interlayer dielectric,ILD)及形成接觸點(contact),於此並不詳細討論。
在一些實施例中,可使用閘極後製製程(有時亦稱為置換閘極製程)。在一些實施例中,閘極68及閘極介電層66可視為虛置結構且將於後續製程中去除及置換成主動閘極及主動閘極介電層。
第13及14圖係根據一些實施例繪示出形成鰭式場效電晶體裝置的製程之各階段剖面圖。第13及第14圖為沿著第1圖中之剖面A-A所繪示出之剖面圖。在一些實施例中,同時對第一區域50A及第二區域50B進行如第13及第14圖所示之製程步驟以於此兩區域中形成置換閘極及接觸點。
第13圖描述了施加額外的步驟於第12圖所示製程後之結構。此些額外的步驟包括形成一層間介電層90於第12圖所示之結構之上、去除閘極68(在此實施例中,有時稱為虛置閘極68)、閘極密封間隔物72及位於閘極68正下方的部分閘極介電層66(在此實施例中,有時稱為虛置閘極介電層66)。
在一些實施例中,使用介電材料例如磷矽酸鹽玻璃(phosphoric silicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)或相似材料,及可使用任何合適方法例如化學氣相沉積、電漿增強化學氣相沉積或流動式化學氣相沉積以沉積形成層間介電層90。
根據一些實施例,在一或多個蝕刻步驟中去除閘極68、閘極介電層66及閘極密封間隔物72,以形成凹槽。各凹槽露出相對應之鰭片64的通道區域。各通道區域係置於相鄰的一組磊晶源極/汲極區域80之間。在去除期間,當蝕刻虛置閘極68時可使用虛置閘極介電層66做為蝕刻終止層。接著在去除虛置閘極68之後,可去除此虛置閘極介電層66及閘極密封間隔物72。
再者,在第13圖中,形成閘極介電層96及閘極電極98為置換閘極。共形沉積閘極介電層96於凹槽中,例如位於鰭片64之頂表面及側壁上、閘極間隔物86之側壁上與層間介電層90之頂表面上。根據一些實施例,此閘極介電層96 包括氧化矽、氮化矽或前述材料組成之多層膜。在一些其他的實施例中,閘極介電層96包括一高介電常數介電材料,且在這些實施例中,此閘極介電層96可具有一大於大約7.0之介電常數,且此閘極介電層96可包括一金屬氧化物或成分為Hf、Al、Zr、La、Mg、Ba、Ti、Pb之矽酸鹽及上述之組合。閘極介電層96之形成方法可包括分子束沉積、原子層沉積、電漿增強化學氣相沉積或相似技術。
接下來,分別沉積閘極電極98於閘極介電層96之上及填充凹槽之剩餘部分。閘極電極98之成分可為一包括TiN、TaN、TaC、Co、Ru、Al材料之金屬、上述之組合或前述材料組成之多層膜。在閘極電極98之填充步驟之後,可進行一平坦化製程(例如化學機械拋光)以去除閘極介電層96及閘極電極98材料之多餘部分,此多餘部分位於層間介電層90之頂表面之上。所得之剩餘部分之閘極電極98材料及閘極介電層96即形成所得鰭式場效電晶體之置換閘極。
在第14圖中,沉積層間介電層100於層間介電層90之上。第14圖更顯示,形成接觸點92通過層間介電層100及層間介電層90且形成接觸點102通過層間介電層100。在一實施例中,層間介電層100為一藉由流動式化學氣相沉積方法形成之流動式薄膜。在一些實施例中,使用介電材料例如磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃、未經摻雜的矽酸鹽玻璃或相似材料,及可使用任何合適方法例如化學氣相沉積及電漿增強化學氣相沉積以沉積形成層間介電層100。形成接觸點92之開口通過層間介電層90及層間介電層100。 形成接觸點102之開口通過層間介電層100。可同時於同一製程中或於分開的製程中形成此些開口。可使用適用之光微影製程及蝕刻技術形成此些開口。形成襯層(liner)(例如,擴散阻障(diffusion barrier)層、黏著(adhesion)層或相似層)及一導電材料於此些開口中。此襯層可包括鈦、氮化鈦、鉭、氮化鉭或相似材料。此導電材料可為銅、銅合金、銀、金、鎢、鋁、鎳或相似材料。可進行一平坦化製程(例如,化學機械拋光)以去除來自層間介電層100之表面的多餘材料。此剩餘的襯層及導電材料於此些開口中形成接觸點92及接觸點102。可進行一退火製程以分別形成矽化物於磊晶源極/汲極區域80及接觸點92之間之界面。接觸點92直接且電性耦合至磊晶源極/汲極區域80,且接觸點102直接且電性耦合至閘極電極98。
第15圖係根據一些實施例繪示之半導體裝置之形成方法的製程流程圖。值得注意的是第15圖所繪示之實施例方法僅為眾多可能之實施例方法之一示例。本領域技術具有通常知識者將認知此些實施例可有許多變化、替代及修改。舉例來說,可增加、去除、替換、重排及重複第17圖所示之各種步驟。
根據第15圖所示,於步驟1010,形成一間隔層於半導體鰭片之上,此半導體鰭片突出於基板上。於步驟1020,使用一第一摻質摻雜此間隔層。於步驟1030,在摻雜之後進行熱退火製程。
上述之實施例可達成多個優點。藉由使用電漿摻雜技術搭配砷摻雜間隔層及使用高溫(例如,1045℃)尖峰退火 製程退火此摻質,輕摻雜汲極區域65自鰭片頂端至鰭片底部具有一大體上均勻之摻質濃度,其有利於減少鰭式場效電晶體形成的電阻(例如,接觸點之電阻)。相反地,傳統的輕摻雜區域之摻雜方法使用之離子束工具無法於鰭片中達到均勻的摻質分布,因為摻質剖面係由佈植角度所控制,佈植角度被許多因素限制,例如鄰接鰭片間的節距。因此,使用離子束工具摻雜之鰭片底部的摻質濃度通常小於鰭片頂端之摻質濃度。本說明所揭露之多個方法達到自鰭片頂端至鰭片底部具有大體上均勻之摻質濃度,且如此一來,使用本說明所揭露之方法形成之鰭片底部之摻質濃度可大約為使用離子束工具形成之鰭片底部之摻質濃度的8至15倍。此外,高溫光阻去除製程使用之過氧化氫硫酸混合物被設計以與電漿摻雜製程協作以減少鰭片高度損失且減少鰭式場效電晶體之元件開電流之降低。再者,退火製程時之周圍氣體中的氧氣可減少摻質之釋氣,因而提升了輕摻雜汲極區域之摻質濃度及避免與釋氣相關之機具安全性問題。
在一些實施例中,本發明之方法包括形成間隔層於半導體鰭片之上,此半導體鰭片突出於基板上,當此間隔層覆蓋半導體鰭片之源極/汲極區域時,使用第一摻質摻雜此間隔層及在摻雜之後進行熱退火製程。
在上述方法中,摻雜可包括使用一電漿摻雜製程摻雜間隔層。
在上述方法中,可使用包括第一摻質與一惰性氣體之一氣體源進行電漿摻雜製程。
在上述方法中,第一摻質可為砷,且惰性氣體大抵擇自由氙、氦、氬、氖及氪所組成之群組。
在上述方法中,電漿摻雜製程之氣體源可包括大約5%至10%的砷及大約90%至95%的氙。
在上述方法中,進行電漿摻雜製程之佈植能量可為大約0.5KV至2.5KV。
在上述方法中,電漿摻雜製程於間隔層之上沉積可包括該第一摻質之一沉積層,其中此方法更包括在進行熱退火製程之前去除沉積層。
在上述方法中,可使用一過氧化氫硫酸混合物(Sulfuric Peroxide Mixture,SPM)溶液進行去除該沉積層。
在上述方法中,去除步驟可在大約30秒至60秒之時間間隔內,及大約150℃至180℃之溫度下進行。
在上述方法中,進行該熱退火製程可包括在大約1000℃至1050℃之溫度下進行該熱退火製程。
在上述方法中,可於包括氧氣之一周圍環境中進行該熱退火製程。
在上述方法中,可於包括大約2%至3%的氧氣及大約97%至98%的氮氣之一氣體環境中進行該熱退火製程。
在一些其他的實施例中,本發明之方法包括形成第一鰭片於半導體裝置之第一區域中且形成一第二鰭片於半導體裝置之第二區域中、形成一間隔層於第一鰭片及第二鰭片之上且形成一第一遮罩層於第二區域中之間隔層之上,此第一遮罩層覆蓋第二鰭片,且第一鰭片與第一遮罩層隔開。此方法 亦包括在形成第一遮罩層之後,佈植具有第一摻雜型態之一第一摻質至位於第一鰭片之上的第一間隔層中,其中在佈植第一摻質期間,此間隔層覆蓋第一鰭片之源極/汲極區域。此方法更包括在佈植第一摻質之後,去除第一遮罩層,且進行一第一退火製程。
在上述方法中,第一摻質可為砷,且佈植可包括使用砷及氙進行一電漿摻雜製程。
在上述方法中,去除第一遮罩層可包括使用大約150℃至180℃之一過氧化氫硫酸混合物溶液去除第一遮罩層。
在上述方法中,第一退火製程可於大約150℃至180℃之溫度且包含氧氣之一周圍環境下進行。
在上述方法中,更可包括在進行第一退火製程之後形成一第二遮罩層於第一區域之間隔層之上,第二遮罩層覆蓋第一鰭片,且第二鰭片與第二遮罩層隔開。亦可包括在形成第二遮罩層之後,佈植具有不同於該第一摻雜型態之一第二摻雜型態的一第二摻質至位於該第二鰭片上之間隔層中。更可包括在佈植第二摻質之後,去除第二遮罩層,以及進行第二退火製程。
在其他實施例中,本發明之方法包括形成一第一鰭片,此第一鰭片突出於半導體裝置之第一區域之基板上、形成一第二鰭片,此第二鰭片突出於半導體裝置之第二區域之基板上、沉積一間隔層於第一鰭片及第二鰭片之上且使用光阻覆蓋第二區域中之間隔層,其中此光阻露出位於第一區域中之間 隔層。此方法亦包括使用包括砷及氙之一氣體進行一電漿製程,其中第二區域中之間隔層藉由此光阻屏蔽於此電漿製程,且第一鰭片之源極/汲極區域藉由此間隔層屏蔽於此電漿製程,其中此電漿製程佈植砷於此間隔層中。此方法更包括在進行電漿製程之後,使用過氧化氫硫酸混合物溶液去除光阻,且在去除光阻之後,於包括氧氣及氮氣之一周圍環境中進行一退火製程以將被佈植的砷自間隔層驅入第一鰭片之源極/汲極區域中。
在上述方法中,電漿製程可包括一電漿摻雜製程,此電漿摻雜製程使用之氣體可包括大約5%至10%的砷及大約90%至95%的氙,且電漿摻雜製程之佈植能量可大約為0.5KV至2.5KV。
在上述方法中,其中過氧化氫硫酸混合物溶液之溫度可大約在150℃至180℃,且退火製程可於大約1000℃至1050℃之溫度下進行。
以上概略說明了本發明數個實施例的特徵,使所屬技術領域內具有通常知識者對於本發明可更為容易理解。任何所屬技術領域內具有通常知識者應瞭解到本說明書可輕易作為其他結構或製程的變更或設計基礎,以進行相同於本發明實施例的目的及/或獲得相同的優點。任何所屬技術領域內具有通常知識者亦可理解與上述等同的結構或製程並未脫離本發明之精神及保護範圍內,且可在不脫離本發明之精神及範圍內,當可作更動、替代與潤飾。本發明描述搭配所述實施例做為參考,此描述之用意並非用以限定本發明。對於所屬技術領域內具有通常知識者而言,所述實施例及本發明之其它實施例 之不同的修飾與結合將是顯而易見的。此為附加之申請專利範圍包括任何修飾或實施例之用意。

Claims (1)

  1. 一種半導體裝置的製造方法,包括:形成一間隔層於一半導體鰭片上,該半導體鰭片突出於一基板上;當該間隔層覆蓋該半導體鰭片之源極/汲極區域時,使用一第一摻質摻雜該間隔層;以及於該摻雜後進行一熱退火製程。
TW106139136A 2017-06-26 2017-11-13 半導體裝置的製造方法 TW201905978A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/633,418 2017-06-26
US15/633,418 US10629494B2 (en) 2017-06-26 2017-06-26 Semiconductor device and method

Publications (1)

Publication Number Publication Date
TW201905978A true TW201905978A (zh) 2019-02-01

Family

ID=64567744

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106139136A TW201905978A (zh) 2017-06-26 2017-11-13 半導體裝置的製造方法

Country Status (5)

Country Link
US (1) US10629494B2 (zh)
KR (1) KR102090771B1 (zh)
CN (1) CN109119376A (zh)
DE (1) DE102017127658B4 (zh)
TW (1) TW201905978A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10700197B2 (en) * 2017-09-29 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11476268B2 (en) 2020-05-29 2022-10-18 Micron Technology, Inc. Methods of forming electronic devices using materials removable at different temperatures

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6821827B2 (en) * 1999-12-28 2004-11-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
KR100402428B1 (ko) * 2001-12-18 2003-10-17 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US7078723B2 (en) * 2004-04-06 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device with depth adjustable sill
KR100699830B1 (ko) * 2004-12-16 2007-03-27 삼성전자주식회사 이레이즈 효율을 개선하는 비휘발성 메모리 소자 및 제조방법
KR101096244B1 (ko) * 2009-01-28 2011-12-22 주식회사 하이닉스반도체 반도체 메모리소자의 제조방법
JP5457045B2 (ja) * 2009-02-12 2014-04-02 パナソニック株式会社 半導体装置及びその製造方法
JP4794692B2 (ja) * 2009-06-24 2011-10-19 パナソニック株式会社 半導体装置の製造方法
US8187928B2 (en) * 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8980719B2 (en) * 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8785286B2 (en) * 2010-02-09 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques for FinFET doping
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US9646829B2 (en) * 2011-03-04 2017-05-09 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
KR101850703B1 (ko) * 2011-05-17 2018-04-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8901556B2 (en) * 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9093561B2 (en) * 2013-11-21 2015-07-28 GlobalFoundries, Inc. Modified, etch-resistant gate structure(s) facilitating circuit fabrication
US9455200B2 (en) * 2014-08-11 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9558946B2 (en) * 2014-10-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
KR20170095887A (ko) 2014-12-24 2017-08-23 도쿄엘렉트론가부시키가이샤 도핑 방법, 도핑 장치 및 반도체 소자의 제조 방법
US9450078B1 (en) * 2015-04-03 2016-09-20 Advanced Ion Beam Technology, Inc. Forming punch-through stopper regions in finFET devices
US20160307772A1 (en) * 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
KR102293884B1 (ko) * 2015-07-10 2021-08-25 삼성전자주식회사 반도체 소자의 제조 방법
US11018259B2 (en) * 2015-12-17 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device comprising gate structure and doped gate spacer
US9583489B1 (en) * 2016-01-08 2017-02-28 International Business Machines Corporation Solid state diffusion doping for bulk finFET devices
US9935199B2 (en) * 2016-01-15 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure
US10276715B2 (en) * 2016-02-25 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same

Also Published As

Publication number Publication date
KR102090771B1 (ko) 2020-03-19
KR20190001491A (ko) 2019-01-04
CN109119376A (zh) 2019-01-01
US10629494B2 (en) 2020-04-21
DE102017127658A1 (de) 2018-12-27
DE102017127658B4 (de) 2023-11-23
US20180374760A1 (en) 2018-12-27

Similar Documents

Publication Publication Date Title
US11804488B2 (en) Semiconductor device and method
TW201820482A (zh) 半導體裝置的製造方法
US11456373B2 (en) Semiconductor device and method
US11211476B2 (en) FinFET device and method of forming same
TWI556427B (zh) 緩衝層及其形成方法
US11088028B2 (en) Fin field-effect transistor device and method of forming the same
TW202002028A (zh) 半導體裝置的形成方法
TW201926695A (zh) 半導體結構及其製造方法
US20200044043A1 (en) Remote Plasma Oxide Layer
US20220285552A1 (en) Semiconductor Device and Method
KR20200037088A (ko) 핀 전계 효과 트랜지스터 디바이스 및 이의 형성 방법
TWI739147B (zh) 半導體裝置及其形成方法
KR20190132171A (ko) 비등각성 산화물 라이너 및 그 제조 방법
US11264505B2 (en) FinFET device and method of forming same
KR102090771B1 (ko) 반도체 디바이스 및 방법
TWI786704B (zh) 半導體裝置及其製造方法
US20230103483A1 (en) Fin field-effect transistor device and method of forming the same