TW202008471A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202008471A
TW202008471A TW108120201A TW108120201A TW202008471A TW 202008471 A TW202008471 A TW 202008471A TW 108120201 A TW108120201 A TW 108120201A TW 108120201 A TW108120201 A TW 108120201A TW 202008471 A TW202008471 A TW 202008471A
Authority
TW
Taiwan
Prior art keywords
gate
layer
oxide
region
fin
Prior art date
Application number
TW108120201A
Other languages
English (en)
Inventor
許議文
陳哲明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202008471A publication Critical patent/TW202008471A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

遠端產生的電漿能量化製程氣體的自由基。製程氣體的自由基可與前驅物氣體作用,以反應形成氧化物於工件的區域上。形成氧化物的步驟不損傷下方的層狀物如低介電常數的介電層。在鰭狀場效電晶體裝置的形成方法中,氧化物層可對應閘極間隔物上的主要側壁間隔物。

Description

半導體裝置的形成方法
本發明實施例關於半導體裝置,更特別關於以遠端電漿源形成氧化物層,用於保護閘極結構的間隔物。
半導體裝置用於多種電子應用,比如個人電腦、手機、數位相機、與其他電子設備。半導體裝置的製作方法通常為依序沉積絕緣或介電層、導電層、與半導體層的材料於半導體基板上,並採用微影圖案化多種材料層,以形成電路構件與單元於半導體基板上。
半導體產業持續減少最小結構尺寸以持續改良多種電子構件(如電晶體、二極體、電阻、電容、或類似物)的積體密度,以整合更多構件至給定面積。然而隨著最小結構尺寸縮小,需解決額外產生的問題。
本發明一實施例提供之半導體裝置的形成方法包括:形成閘極堆疊於一或多個半導體帶狀物上;形成多個閘極密封間隔物以與閘極堆疊的兩側相鄰;形成多個低介電常數的閘極間隔物以與閘極密封間隔物相鄰,且低介電常數的閘極間隔物包括低介電常數的介電材料;以及以遠端氧電漿源與前驅物氣體形成氧化物層於低介電常數的閘極間隔物上。
本發明一實施例提供之方法包括:形成虛置閘極結構於一或多個半導體帶狀物上並與其垂直。形成閘極間隔物於虛置閘極結構的側壁上,且閘極間隔物包括低介電常數的介電材料。形成虛置間隔物於閘極間隔物上。蝕刻半導體帶狀物的第一半導體帶狀物以使其凹陷,且虛置間隔物在蝕刻時保護閘極間隔物。形成源極/汲極材料於凹陷中。移除虛置間隔物。啟動遠端氧電漿源。將前驅物注入製程腔室,其中遠端氧電漿源的自由基與前驅物反應形成氧化物層於閘極間隔物上。
本發明一實施例提供之方法包括:形成閘極結構於半導體鰭狀物上,且半導體鰭狀物位於工件上。方法包括形成低介電常數的間隔物層於閘極結構的兩側上。形成源極/汲極區於半導體鰭狀物中以與閘極結構相鄰。形成氧化物層於低介電常數的間隔物層上,且佈植摻質至半導體鰭狀物的源極/汲極區中。氧化物層在佈植時保護低介電常數的間隔物層。方法更包括蝕刻氧化物層而不蝕刻低介電常數的間隔物層。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
此處所述的一些實施例細節關於特定內容,比如鰭狀場效電晶體與其形成方法。實施例提供遠端電漿源形成的氧化物層,以在形成鰭狀場效電晶體裝置時保護閘極結構的間隔物。然而本技術領域中具有通常知識者應理解,以鰭狀場效電晶體裝置說明的技術細節,亦可用於其他內容如下述。
實施例中關於鰭狀場效電晶體的說明並非用於侷限這些技術的應用。此處說明鰭狀場效電晶體的形成方法,且鰭狀場效電晶體的例子包括源極/汲極區、閘極結構、與通道區。這些製程的置換仍屬此處所述的實施例範疇。
實施例的製程採用遠端電漿沉積法,以沉積主要側壁氧化物材料於低介電常數的閘極間隔物上。在一些實施方式中,主要側壁氧化物亦可稱作屏蔽氧化物、犧牲氧化物、或阻障氧化物。舉例來說,在形成虛置閘極堆疊於鰭狀場效電晶體的鰭狀物上時,可沉積低介電常數的閘極間隔物於虛置閘極堆疊上,並對閘極間隔物進行非等向蝕刻以移除其水平部份。在蝕刻之後,可沉積主要側壁氧化物材料於低介電常數的閘極間隔物上。若以其他製程形成主要側壁氧化物,則氧化物的形成方法可能氧化低介電常數的閘極間隔物之部份。之後移除主要側壁氧化物時,亦移除低介電常數的閘極間隔物之氧化部份,即減少低介電常數的閘極間隔物厚度並造成漏電流問題。然而實施例的製程採用遠端電漿源能量化前驅物,以形成主要側壁氧化物於低介電常數的閘極間隔物上。由於遠端電漿源的較低能量與前驅物的選擇,可消除或降低低介電常數的閘極間隔物之損傷及/或氧化,如下詳述。在實質上移除主要側壁氧化物時,可維持低介電常數的閘極間隔物厚度,以減少漏電流問題的可能性。
圖1係一些實施例中,鰭狀場效電晶體的三維圖。鰭狀場效電晶體包括鰭狀物52於基板50 (如半導體基板)上。淺溝槽隔離區56位於基板50中,而鰭狀物52自相鄰的淺溝槽隔離區56之間凸起高於淺溝槽隔離區56。雖然圖式與說明中的淺溝槽隔離區56與基板50為分開單元,但此處所述的用語「基板」可指半導體基板,或者含有隔離區的半導體基板。此外,雖然圖式中的鰭狀物52與基板50為單一的連續材料,鰭狀物52及/或基板50可包含單一材料或多種材料。在此內容中,鰭狀物52指的是自相鄰的淺溝槽隔離區56之間延伸的部份。
閘極介電層92沿著鰭狀物52的側壁及上表面上,而閘極94位於閘極介電層92上。源極/汲極區82位於鰭狀物52的兩側上(相對於閘極介電層92與閘極94)。圖1亦顯示後續圖式所用的參考剖面。參考剖面A-A沿著閘極94的縱軸,並垂直於鰭狀場效電晶體的源極/汲極區82之間的電流方向。參考剖面B-B垂直於參考剖面A-A,並沿著鰭狀物52的縱軸及鰭狀場效電晶體的源極/汲極區82之間的電流方向。參考剖面C-C平行於參考剖面A-A,並延伸穿過鰭狀場效電晶體的源極/汲極區。後續圖式將依據這些參考剖面,以達圖式清楚的目的。
此處所述的一些實施例內容為閘極後製製程所形成的鰭狀場效電晶體。在其他實施例中,可採用閘極優先製程。此外,一些實施例可實施於平面裝置如平面場效電晶體。
圖2至19B係一些實施例中,形成鰭狀場效電晶體的中間階段之剖視圖。圖2至7沿著圖1中的參考剖面A-A,差別在於圖2至7具有多個鰭狀物或鰭狀場效電晶體。圖8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、與19A沿著圖1的參考剖面A-A,而圖8B、9B、10B、11B、12B、13B、14B、15B、16B、17B、18B、與19B沿著圖1的參考剖面B-B,差別在圖8A、9A、10A、11A、12A、13A、14A、15A、16A、17A、18A、19A、8B、9B、10B、11B、12B、13B、14B、15B、16B、17B、18B、與19B具有多個鰭狀物或鰭狀場效電晶體。圖10C與10D沿著圖1的參考剖面C-C,差別在圖10C與10D具有多個鰭狀物或鰭狀場效電晶體。
在圖2中,提供基板50。基板50可為半導體基板如基體半導體、絕緣層上半導體基板、或類似物,其可摻雜(比如摻雜p型或n型摻質)或未摻雜。基板50可為晶圓如矽晶圓。一般而言,絕緣層上半導體基板為半導體材料層形成於絕緣層上。舉例來說,絕緣層可為埋置氧化物層、氧化矽層、或類似物。提供絕緣層於基板上,且基板通常為矽或玻璃基板。亦可採用其他基板如多層基板或組成漸變基板。在一些實施例中,基板50的半導體材料可包含矽、鍺、半導體化合物(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(包括矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。
基板50具有區域50N與區域50P。區域50N可用於形成n型裝置如n型金氧半電晶體(例如n型鰭狀場效電晶體)。區域50P可用於形成p型裝置如p型金氧半電晶體(例如p型鰭狀場效電晶體)。區域50N與區域50P可物理分隔(如由分隔線51分隔),且任何數目的結構(如其他主動裝置、摻雜區、隔離結構、或類似物)可位於區域50N與區域50P之間。
在圖3中,鰭狀物52形成於基板50上。鰭狀物52可為半導體帶狀物。在一些實施例中,鰭狀物52形成於基板50中的方法可為蝕刻溝槽於基板50中。蝕刻可為任何可接受的蝕刻製程,比如反應性離子蝕刻、中性束蝕刻、類似方法、或上述之組合。蝕刻可為非等向。
可由任何合適方法圖案化鰭狀物。舉例來說,可採用一或多道光微影製程圖案化鰭狀物,比如雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距可小於採用單一直接的光微影製程所得的圖案間距。舉例來說,一實施例形成犧牲層於基板上,並採用光微影製程圖案化犧牲層。採用自對準製程,沿著圖案化的犧牲層之側部形成間隔物。接著移除犧牲層,而保留的間隔物可用於圖案化鰭狀物。
在圖4中,絕緣材料54形成於基板50之上及相鄰的鰭狀物52之間。絕緣材料54可為氧化物如氧化矽、氮化物、類似物、或上述之組合,且其形成方法可為高密度電漿化學氣相沉積、可流動的化學氣相沉積、類似方法、或上述之組合。可流動的化學氣相沉積可在遠端電漿系統中沉積化學氣相沉積為主的材料,之後固化沉積的材料以將其轉變成另一材料如氧化物。此外亦可採用任何可接受的製程所形成的其他絕緣材料。在所述實施例中,絕緣材料54為可流動的化學氣相沉積製程所形成的氧化矽。一旦形成絕緣材料,可進行退火製程。在一實施例中,形成絕緣材料54,使多餘的絕緣材料54覆蓋鰭狀物52。雖然圖式中的絕緣材料54為單層,一些實施例可採用多層的絕緣材料54。舉例來說,一些實施例可先沿著基板50與鰭狀物52的表面形成襯墊層(未圖示)。之後可形成前述填充材料於襯墊層上。
在圖5中,對絕緣材料54進行移除製程,以移除鰭狀物52上的多餘絕緣材料54。在一些實施例中,可採用平坦化製程如化學機械研磨、回蝕刻製程、上述之組合、或類似方法。平坦化製程露出鰭狀物52,在完成平坦化製程後使鰭狀物52與絕緣材料54的上表面齊平。
在圖6中,使絕緣材料54凹陷以形成淺溝槽隔離區56。使絕緣材料54凹陷,因此區域50N及區域50P中的鰭狀物52之上側部份自相鄰的淺溝槽隔離區56之間凸起。此外,淺溝槽隔離區56的上表面可具有圖示的平坦表面,凸起表面、凹陷表面(如碟化)、或上述之組合。藉由適當蝕刻,可形成平面、凸起、及/或凹陷的淺溝槽隔離區56的上表面。淺溝槽隔離區56的凹陷方法可採用可接受的蝕刻製程,比如對絕緣材料54具有選擇性的蝕刻製程。舉例來說,蝕刻製程對絕緣材料54的蝕刻速率,高於對鰭狀物52的材料的蝕刻速率。舉例來說,化學氧化物的移除方法採用合適的蝕刻製程,其可採用稀釋氫氟酸。
搭配圖2至6說明的製程僅為如何形成鰭狀物52的一例。在一些實施例中,鰭狀物的形成方法可為磊晶成長製程。舉例來說,可形成介電層於基板50的上表面上,並蝕刻穿過介電層以形成露出下方基板50的溝槽。可磊晶成長同質磊晶結構於溝槽中並使介電層凹陷,因此同質磊晶結構自介電層凸起以形成鰭狀物。此外,一些實施例的鰭狀物52可採用異質磊晶結構。舉例來說,可使圖5中的鰭狀物52凹陷,並可磊晶成長不同於鰭狀物52的材料於凹陷的鰭狀物52上。在這些實施例中,鰭狀物52包括凹陷的材料,以及位於凹陷的材料上的磊晶成長材料。在另一實施例中,介電層可形成於基板50的上表面上,並可蝕刻穿過介電層以形成溝槽。接著可採用不同於基板50的材料,磊晶成長異質磊晶結構於溝槽中,並使介電層凹陷,使異質磊晶結構自介電層凸起以形成鰭狀物52。在一些實施例中,磊晶成長均質磊晶或異質磊晶結構。在磊晶成長時可原位摻雜材料,以省略之前或之後的佈植。不過原位摻雜與佈植摻雜可一起使用。
此外,磊晶成長於區域50N (如n型金氧半區)的材料,不同於磊晶成長於區域50P (如p型金氧半區)的材料可能有利。在多種實施例中,鰭狀物52的上側部份的組成可為矽鍺(Six Ge1-x ,其中x可為0至1)、碳化矽、純或實質上純鍺、III-V族半導體化合物、II-VI族半導體化合物、或類似物。舉例來說,形成III-V族半導體化合物的可行材料包括但不限於砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化銦鎵、砷化鋁銦、銻化鎵、銻化鋁、磷化鋁、磷化鎵、或類似物。
在圖6中,可形成合適的井(未圖示)於鰭狀物52及/或基板50中。在一些實施例中,p型井可形成於區域50N中,而n型井可形成於區域50P中。在一些實施例中,p型井或n型井可形成於區域50N及區域50P中。
在不同型態的井之實施例中,可採用光阻或其他遮罩(未圖示)達到區域50N與區域50P所用的不同佈植步驟。舉例來說,可形成光阻於區域50N中的鰭狀物52與淺溝槽隔離區56上。圖案化光阻以露出基板50的區域50P,比如p型金氧半區。可採用旋轉塗佈技術形成光阻,並可採用可接受的光微影技術圖案化光阻。一旦圖案化光阻,可在區域50P中進行n型雜質的佈植,而光阻可作為遮罩以實質上避免n型雜質佈植至區域50N (如n型金氧半區)中。n型雜質可為磷,砷、或類似物,其佈植至區域中的濃度小於或等於1018 cm-3 ,比如介於約1017 cm-3 至約1018 cm-3 之間。在佈植後可移除光阻,且移除方法可為可接受的灰化製程。
在佈植區域50P之後,可形成光阻於區域50P中的鰭狀物52與淺溝槽隔離區56上。圖案化光阻以露出基板50的區域50N,比如n型金氧半區。可採用旋轉塗佈技術形成光阻,並採用可接受的光微影技術圖案化光阻。一旦圖案化光阻,可在區域50N中進行p型雜質佈植,而光阻可作為遮罩以實質上避免p型雜質佈植至區域50P (如p型金氧半區)中。p型雜質可為硼、二氟化硼、或類似物,其佈植於區域中的濃度可小於或等於1018 cm-3 ,比如介於約1017 cm-3 至約1018 cm-3 之間。在佈植之後可移除光阻,且移除方法為可接受的灰化製程。
在佈植區域50N與區域50P之後,可進行退火以活化佈植的p型及/或n型雜質。在一些實施例中,可在成長磊晶鰭狀物的材料時進行原位摻雜,其可省略佈植。不過仍可一起採用原位摻雜與佈植摻雜。
在圖7中,虛置介電層60形成於鰭狀物52上。舉例來說,虛置介電層60可為氧化矽、氮化矽、上述之組合、或類似物,且其形成方法可為依據可接受的技術之沉積或熱成長。虛置閘極層62形成於虛置介電層60上,而遮罩層64形成於虛置閘極層62上。可沉積虛置閘極層62於虛置介電層60上,接著平坦化(如化學機械研磨)虛置閘極層62。可沉積遮罩層64於虛置閘極層62上。虛置閘極層62可為導電材料,其可包含多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物、或金屬。在一實施例中,沉積並再結晶非晶矽以產生多晶矽。虛置閘極層62的沉積方法可為物理氣相沉積、化學氣相沉積、濺鍍沉積、或本技術領域用於沉積導電材料的已知其他技術。虛置閘極層62的組成可為其他材料,其對蝕刻隔離區的步驟具有高蝕刻選擇性。舉例來說,遮罩層64可包含氮化矽、氮氧化矽、或類似物。在此例中,單一的虛置閘極層62與單一的遮罩層64形成於整個區域50N及區域50P中。在一些實施例中,分開的虛置閘極層可形成於區域50N與區域50P中,而分開的遮罩層可形成於區域50N與區域50P中。值得注意的是,虛置介電層60只覆蓋鰭狀物52的狀況僅用於說明目的。在一些實施例中,可沉積虛置介電層60,使虛置介電層60覆蓋淺溝槽隔離區56,以延伸於虛置閘極層62及淺溝槽隔離區56之間。
圖8A至19B顯示形成實施例之裝置的多種額外步驟。圖8A至19B顯示區域50N與區域50P中的結構。舉例來說,圖8A至19B所示的結構可實施於區域50N與區域50P。若區域50N與區域50P的結構具有任何差異,將搭配圖式說明。
在圖8A與8B中,可採用可接受的光微影與蝕刻技術圖案化遮罩層64,以形成遮罩74。接著可將遮罩74的圖案轉移至虛置閘極層62。在一些實施例中(未圖示),亦可採用可接受的蝕刻技術將遮罩74的圖案轉移至虛置介電層60,以形成虛置閘極72。虛置閘極72覆蓋鰭狀物52的個別通道區58。遮罩74的圖案可用於使相鄰的虛置閘極72彼此物理分隔。虛置閘極72的縱向亦可實質上垂直於個別磊晶的鰭狀物52之縱向。
在圖8A與8B中,可形成閘極密封間隔物80於虛置閘極72、遮罩74、及/或鰭狀物52的露出表面上。熱氧化或沉積後可進行非等向蝕刻,以形成閘極密封間隔物80。
在形成閘極密封間隔物80之後,可進行輕摻雜源極/汲極區(未圖示)所用的佈植。在不同裝置型態的實施例中,與圖6中所述的佈植類似,可形成遮罩如光阻於區域50N上並露出區域50P,且可佈植合適型態(如n型或p型)的雜質至區域50P中露出的鰭狀物52中。接著可移除遮罩。之後可形成遮罩如光阻於區域50P上並露出區域50N,且可佈植合適種類的雜質至區域50N中露出的鰭狀物52中。接著可移除遮罩。n型雜質可為前述的任何n型雜質,而p型雜質可為前述的任何p型雜質。輕摻雜源極/汲極區的雜質濃度可介於約1015 cm-3 至約1016 cm-3 之間。可採用退火以活化佈植的雜質。
在圖9A與9B中,閘極間隔物86形成於沿著虛置閘極72及遮罩74之側壁的閘極密封間隔物80上。閘極間隔物86的形成方法可為順應性沉積絕緣材料,之後非等向蝕刻絕緣材料。在一些實施例中,閘極間隔物86的絕緣材料可為低介電常數的介電材料,比如磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、氟化矽酸鹽玻璃、碳氧化矽、碳氮氧化矽、碳矽材料、上述之化合物、上述之複合物、上述之組合、或類似物,且其形成方法可為任何合適方法如化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、熱原子層沉積、電漿增強原子層沉積、或類似方法。適用於低介電常數的介電層之材料,其介電常數介於約2至6之間。在一些實施例中,閘極間隔物86的厚度可介於約1nm至約9nm之間,比如約4nm或5nm,但亦可實施其他尺寸。在一些實施例中,閘極間隔物86的組成可為多個低介電常數層,比如兩層或更多層。
在一些實施例中,在蝕刻閘極間隔物86之前,形成虛置側壁間隔物86t於閘極間隔物86、虛置閘極72、遮罩74、絕緣材料54、與鰭狀物52上。虛置側壁間隔物86t的形成方法可為順應性地沉積絕緣材料。之後可非等向蝕刻虛置側壁間隔物86t的絕緣材料,以移除虛置側壁間隔物86t的水平部份。在蝕刻虛置側壁間隔物86t之後,可接著非等向蝕刻閘極間隔物86的絕緣材料,以形成L形的閘極結構物86。在蝕刻閘極間隔物86時,虛置側壁間隔物86t保留於閘極間隔物86之底部的水平部份上。虛置側壁間隔物86t的絕緣材料可為氮化矽、碳化矽、上述之組合、或類似物,且其形成方法可為任何合適方法如化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、熱原子層沉積、電漿增強原子層沉積、或類似方法。虛置側壁間隔物86t在後續形成磊晶的源極/汲極區時,可作為保護閘極間隔物86的臨時結構。在一些實施例中,虛置側壁間隔物86t的絕緣材料可為氧化矽,其形成方法可採用遠端電漿氧化物技術,比如關於主要側壁氧化物96的下述詳細內容。
在圖10A與10B中,磊晶的源極/汲極區82形成於鰭狀物52中,以施加應力至個別通道區58中,進而改善效能。磊晶的源極/汲極區82形成於鰭狀物52中,使每一虛置閘極72位於個別且相鄰之一對磊晶的源極/汲極區82之間。在一些實施例中,磊晶的源極/汲極區82可延伸至鰭狀物52中。在一些實施例中,閘極間隔物86用於使磊晶的源極/汲極區82與虛置閘極分隔合適的橫向距離,以避免磊晶的源極/汲極區82向外短接至最終鰭狀場效電晶體之後續形成的閘極。在形成磊晶的源極/汲極區82之後,可採用合適的濕式蝕刻清潔製程(對虛置側壁間隔物86t的材料具有選擇性)移除虛置側壁間隔物86t。
區域50N (如n型金氧半區)中磊晶的源極/汲極區82之形成方法,可為遮罩區域50P (如p型金氧半區),並蝕刻區域50N中的鰭狀物52之源極/汲極區,以形成凹陷於鰭狀物52中。接著磊晶成長區域50N中的磊晶的源極/汲極區82於凹陷中。磊晶的源極/汲極區82可包含任何可接受的材料,比如適用於n型鰭狀場效電晶體的材料。舉例來說,若鰭狀物52為矽,則區域50N中磊晶的源極/汲極區82可包含施加拉伸應力至通道區58中的材料,比如矽、碳化矽、碳磷化矽、磷化矽、或類似物。區域50N中的磊晶的源極/汲極區可具有自鰭狀物52的個別表面隆起的表面,且可具有晶面。
區域50P (如p型金氧半區)中的磊晶的源極/汲極區82之形成方法,可為遮罩區域50N (如n型金氧半區),並蝕刻區域50P中的鰭狀物52之源極/汲極區,以形成凹陷於鰭狀物52中。接著磊晶成長區域50P中的磊晶的源極/汲極區82於凹陷中。磊晶的源極/汲極區82可包含任何可接受的材料,比如適用於p型鰭狀場效電晶體的材料。舉例來說,若鰭狀物52為矽,則區域50P中磊晶的源極/汲極區82可包含施加壓縮應力於通道區58中的材料如矽鍺、硼化矽鍺、鍺、鍺錫、或類似物。區域50P中的磊晶的源極/汲極區82之表面可自鰭狀物52的個別表面隆起,且可具有晶面。
用於形成磊晶的源極/汲極區82於區域50N及區域50P中的磊晶製程,使磊晶的源極/汲極區之上表面具有晶面,其橫向地向外延伸超出鰭狀物52的側壁。在一些實施例中,這些晶面造成相同的鰭狀場效電晶體之相鄰的磊晶的源極/汲極區82合併,如圖10C所示。在其他實施例中,完成磊晶製程之後的相鄰的磊晶的源極/汲極區82維持分開,如圖10D所示。
在圖11A與11B中,以遠端電漿製程95形成主要側壁氧化物96於閘極間隔物86與磊晶的源極/汲極區82上。在佈植雜質或摻質至源極/汲極區82中的後續佈植製程時,主要側壁氧化物96可用於保護閘極間隔物86與虛置閘極72。在一些實施例中,可順應性地形成主要側壁氧化物96於閘極間隔物86及磊晶的源極/汲極區82上。在其他實施例中,可毯覆性地形成主要側壁氧化物96於閘極間隔物86及磊晶的源極/汲極區82上。在一些實施例中,除了移除虛置側壁間隔物86t之外,虛置側壁間隔物86t可作為主要側壁氧化物96。然而這些實施例中的虛置側壁間隔物86t的形成方法採用形成主要側壁氧化物96的技術如下述。
主要側壁氧化物96的形成方法可採用遠端電漿製程95,而非可能損傷閘極間隔物86的低介電常數材料之直接沉積技術。遠端電漿製程95所用的遠端電漿源的細節將搭配圖20至22說明如下。遠端電漿源可用於產生製程氣體(如反應物氣體,例如氧氣)的遠端電漿。在一些實施例中,可採用其他製程氣體如一氧化二氮、氨、上述之組合、或類似物。此外亦可存在其他氣體如氬氣。製程氣體的自由基可能量化並撞擊注入製程腔室的前驅物。當自由基撞擊前驅物,則產生反應並形成氧化物網狀物。此氧化物網狀物變成主要側壁氧化物96。舉例來說,氧化物網狀物包括氧化矽,其前驅物的候選可包含四甲氧基矽烷、四乙氧基矽烷、二甲氧基二甲基矽烷、甲基三甲氧基矽烷、乙基三甲氧基矽烷、乙烯基三甲氧基矽烷、雙(三甲氧基矽烷基)乙烷、三矽烷基胺、或上述之組合(見圖22)。當氧化物網狀物包括另一氧化物,可由類似方式採用其他合適的候選前驅物,如此處所述。
在一些實施例中,當製程氣體的自由基撞擊前驅物時,可形成氮化物網狀物或氮氧化物網狀物,端視採用的製程氣體與前驅物而定。製程氣體的自由基撞擊前驅物會造成反應,形成氮化物網狀物或氮氧化物網狀物,其將轉變為側壁且與主要側壁氧化物96的功能類似。舉例來說,當製程氣體包括氨或一氧化二氮時,則可自合適的前驅物形成氮化物及/或氮氧化物。氨或一氧化二氮可解離成氧的自由基(O*)、NO的自由基(NO*)、及/或NHx 的自由基(NHx *)。
舉例來說,當前驅物為四甲氧基矽烷時,氧氣電漿的氧自由基O*與四甲氧基矽烷反應,而能量轉移造成下述反應: Si(OCH3 )4 + O* → SiO2 + 副產物 如上式所示,產生二氧化矽與副產物。舉例來說,副產物可包含甲醇、CH2 O、乙烯、一氧化碳、氫氣、水、或類似物。此外亦可能殘留前驅物的未反應部份。大部份的副產物與前驅物的未反應部份可由排氣系統抽出腔室。然而一些副產物可能包含於主要側壁氧化物96的膜組成中。其他候選前驅物可造成類似反應。
在另一例中,製程氣體包括氨或一氧化二氮,氨或氮氣可解離成自由基O*、NO自由基(NO*)、及/或NHx 自由基(NHx *)。當前驅物氣體為三矽烷基氨時,反應會使三矽烷基氨的一個、兩個、或三個氮-矽鍵斷裂,使氧自由基O*鍵結至矽,造成連鎖反應並形成氮氧化物網狀物。
遠端電漿源採用的射頻功率可介於約1000瓦至約10000瓦之間,以誘發並維持製程氣體(如氧氣)的遠端電漿於製程腔室中(見圖20至21)。製程腔室的腔室壓力可介於約0.5Torr至約5Torr之間,比如約1Torr。在一些實施例中,沉積製程可歷時約5秒至約100秒,比如約10秒至30秒。製程溫度可維持在約40℃至約90℃之間。可改變其他製程條件如候選前驅物的流速與泵入速度、沉積之前與之後的閒置時間、紫外線固化製程時間、劑量、與類似參數如所需參數,以達所需的沉積輪廓。在一些實施例中,前驅物的流速或劑量介於約200sccm至約900sccm之間,比如約500sccm。製程氣體的流速或劑量可介於約100sccm至約600sccm之間,比如約300sccm。可維持前驅物與製程氣體的泵入速度,以維持固定壓力。舉例來說,可依據腔室壓力以節流閥控制泵浦的泵入速度。在沉積之前或之後的閒置時間可介於約10秒至約120秒之間,比如約30秒。紫外線固化製程時間可介於約30秒至約600秒之間,比如約150秒。紫外線固化可促進矽-氧鍵,而較長時間的紫外線固化通常形成較緻密的氧化物網狀物。載氣或鈍氣的流速或劑量可介於約1000sccm至約30000sccm之間,比如約5000sccm。可實施合適的製程條件的其他數值。在一些實施例中,前驅物反應氣體與可用自由基之間的比例可介於約2至1之間。較高比例的前驅物反應氣體可提供較少的未反應氧自由基。未反應氧自由基會抵達閘極間隔物86的低介電常數材料並與其反應,進而損傷閘極間隔物86。
在一些實施例中,製程腔室(見圖20)可包含遠端電漿源,其與包含區域50N及/或區域50P的工件(見圖7)之間隔有具備開口形成其中的氣體分佈板,以自製程腔室的上側腔室提供遠端電漿至製程腔室的下側腔室。因此藉由插入氣體分佈板,可讓工件在遠端電漿的直線之外。氣體分佈板可使自由基自上側腔室擴散至下側腔室,以減少下側腔室中可用自由基的數目及/或強度。可將候選的前驅物注入下側腔室中。這將進一步詳述於下。
在一些實施例中,製程腔室(見圖21)可包含遠端電漿源,其與製程腔室相鄰。產生遠端電漿後,經由電漿轉移腔室提供至容納工件的製程腔室中,且工件包括區域50N及/或區域50P (見圖7)。工件在遠端電漿的直線之外。可在靠近電漿產生處,沿著電漿轉移腔室、或在製程腔室中注入候選的前驅物。在一些實施例中,氣體分佈板亦可用於遠端電漿源與製程腔室之間。此將詳述於下。
在實施例的製程中,由於電漿源為遠端氧電漿,閘極間隔物86的低介電常數材料可實質上維持完整(或不變)。而不被氧電漿氧化或被其他沉積製程所需的較高熱分解。換言之,在形成主要側壁氧化物96之前與之後的閘極間隔物86的材料組成實質上相同。可調整製程參數如溫度、壓力、流速、泵入速度、閒置時間、紫外線固化製程時間、劑量、與類似製程如上述,以改變主要側壁氧化物96的形成方法。前驅物的其他變數可為氣體比例、流入順序、以及類似變數。
如圖12A與12B所示,在形成主要側壁氧化物96之後,可採用合適技術蝕刻主要側壁氧化物96,比如採用合適的光微影技術圖案化主要側壁氧化物96,以露出磊晶的源極/汲極區82。露出的磊晶的源極/汲極區82及/或鰭狀物52可佈植摻質,其與搭配圖8A與8B說明的前述製程(用於形成輕摻雜源極/汲極區)類似。之後進行退火以活化摻質。主要側壁氧化物96可保護閘極間隔物86免於圖案化製程的遮罩材料及/或佈植。源極/汲極區所用的n型及/或p型雜質可為前述的任何雜質。在一些實施例中,在成長磊晶的源極/汲極區82時可進行原位摻雜。
在圖13A與13B中,在佈植與活化摻質之後可移除主要側壁氧化物96,且移除方法可為任何合適製程如採用任何合適清潔劑的標準清潔製程。清潔劑可為去離子水、稀釋氫氟酸、硫酸、過氧化氫、類似物、或上述之組合。由於形成主要側壁氧化物96的製程不會損傷或氧化閘極間隔物86的低介電常數材料,移除主要側壁氧化物96時可保留而不明顯損傷閘極間隔物86的低介電常數材料。若以其他技術形成主要側壁氧化物96,則閘極間隔物86的損傷及/或氧化低介電常數材料可能損害閘極間隔物86,因此移除主要側壁氧化物96時會順便移除一些或所有的閘極間隔物86。相反地,由於主要側壁氧化物96的形成方法為上述的遠端氧電漿製程,可在移除主要側壁氧化物96時避免或減少閘極間隔物86的損傷,使閘極間隔物86實質上完整而不損傷。舉例來說,一些實施例在移除主要側壁氧化物96之後的閘極間隔物86之厚度,可與形成主要側壁氧化物96之前的閘極間隔物86之厚度相同。在一些實施例中,在移除主要側壁氧化物96之後的閘極間隔物86之厚度,可大於形成主要側壁氧化物96之後的閘極間隔物86之厚度的95%,比如介於95%至100%之間。換言之,藉由移除主要側壁氧化物96,閘極間隔物86的厚度的減少量可小於5%,比如介於0%至5%之間。此外亦可進行一或多道額外清潔製程。舉例來說,包含區域50N及/或區域50P的工件可為晶圓,且可在晶圓上進行多種清潔製程如斜向清潔製程。
在圖14A與14B中,依照搭配圖11A與11B說明的製程沉積第一層間介電層88於結構上。第一層間介電層88的組成可為介電材料,且其沉積方法可為任何合適方法如化學氣相沉積、電漿增強化學氣相沉積、或可流動的化學氣相沉積。介電材料可包含磷矽酸鹽玻璃、硼矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物。半導體材料可包含非晶矽、矽鍺(Six Ge1-x ,其中x可為近似0至1)、純鍺、或類似物。此外亦可採用任何可接受的製程所形成的其他絕緣或半導體材料。在一些實施例中,接點蝕刻停止層87位於第一層間介電層88與磊晶的源極/汲極區82、遮罩74、及閘極間隔物86之間。接點蝕刻停止層87可包含介電材料,比如氮化矽、氧化矽、氮氧化矽、或類似物,其蝕刻選擇性不同於上方的第一層間介電層88的材料之蝕刻選擇性。
在圖15A與15B中,可進行平坦化製程如化學機械研磨,使第一層間介電層88及虛置閘極72的上表面齊平。平坦化製程亦可移除虛置閘極72上的遮罩74,以及沿著遮罩74之側壁的閘極密封間隔物80與閘極間隔物86的部份。在平坦化製程之後,虛置閘極72、閘極密封間隔物80、閘極間隔物86、與第一層間介電層88的上表面齊平。綜上所述,虛置閘極72的上表面自第一層介電層88露出。
在圖16A與16B中,在蝕刻步驟中移除虛置閘極72,以形成凹陷90。亦可移除凹陷90中的虛置介電層60的部份。在一些實施例中,只移除虛置閘極72並保留虛置介電層60,且凹陷90露出虛置介電層60。在一些實施例中,自晶粒的第一區(如核心邏輯區)中的凹陷90移除虛置介電層60,並保留晶粒的第二區(如輸入/輸出區)中的凹陷90內的虛置介電層60。在一些實施例中,以非等向乾蝕刻製程移除虛置閘極72。舉例來說,蝕刻製程可包含乾蝕刻製程,其採用的反應氣體可選擇性地蝕刻虛置閘極72而不蝕刻第一層間介電層88或閘極間隔物86。每一凹陷90露出個別鰭狀物52的通道區58。每一通道區58位於相鄰的一對磊晶的源極/汲極區82之間。在移除虛置閘極72的蝕刻步驟時,虛置介電層60可作為蝕刻停止層。在移除虛置閘極72之後,可接著視情況移除虛置介電層60。
在圖17A與17B中,形成閘極介電層92與閘極94以用於置換閘極。圖16C顯示圖17B的區域89之細節圖。閘極介電層92可順應性地沉積於凹陷90中,比如沉積於鰭狀物52的上表面與側壁上以及閘極密封間隔物80 (或閘極間隔物86)的側壁上。閘極介電層92亦可形成於第一層間介電層88的上表面上。在一些實施例中,閘極介電層92包括氧化矽、氮化矽、或上述之多層。在一些實施例中,閘極介電層92為高介電常數的介電材料。在這些實施例中,閘極介電層92的介電常數大於約7.0,且可包含鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛、或上述之組合的金屬氧化物或金屬矽酸鹽。閘極介電層92的形成方法可包括分子束沉積、原子層沉積、電漿增強化學氣相沉積、或類似方法。在虛置介電層60的部份保留於凹陷90中的實施例,閘極介電層92包含虛置介電層60的材料如氧化矽。
閘極94沉積於閘極介電層92上,並填入凹陷90的其餘部份。閘極94可為含金屬材料如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、上述之組合、或上述之多層。舉例來說,雖然圖17B所示的閘極94為單層,閘極94可包含任何數目的襯墊層94A、任何數目的功函數調整層94B、與填充材料94C,如圖16C所示。在填入閘極94之後,可進行平坦化製程如化學機械研磨,以移除第一層間介電層88的上表面上的閘極介電層92與閘極94的多餘部份。閘極94與閘極介電層92的材料之保留部份,形成最終鰭狀場效電晶體的置換閘極。閘極94與閘極介電層92可一起稱作閘極堆疊。閘極與閘極堆疊可沿著鰭狀物52的通道區58之側壁延伸。
可同時形成閘極介電層92於區域50N及區域50P中,使每一區中的閘極介電層92的組成為相同材料。亦可同時形成閘極94,使每一區中的閘極94的組成為相同材料。在一些實施例中,每一區中的閘極介電層92可由分開製程形成,使每一區的閘極介電層92為不同材料;及/或每一區中的閘極94可由分開製程形成,使每一區的閘極94為不同材料。在採用分開的製程時,多種遮罩步驟可用於遮罩並露出合適區域。
在圖18A與18B中,第二層間介電層108沉積於第一層間介電層88上。在一實施例中,第二層間介電層108為可流動的化學氣相沉積法所形成的可流動膜。在一些實施例中,第二層間介電層108的組成為介電材料,比如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物,且其沉積方法可為任何合適方法如化學氣相沉積或電漿增強化學氣相沉積。
在圖19A與19B中,一些實施例形成閘極接點110與源極/汲極接點112以穿過第二層間介電層108與第一層間介電層88。形成穿過第一層間介電層88與第二層間介電層108的開口以用於源極/汲極接點112,並形成穿過第二層間介電層108的開口以用於閘極接點110。開口的形成方法可採用可接受的光微影與蝕刻技術。襯墊層(如擴散阻障層、黏著層、或類似物)與導電材料形成於開口中。襯墊層可包含鈦、氮化鈦、鉭、氮化鉭、或類似物。導電材料可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳、或類似物。可進行平坦化製程以自第二層間介電層108的表面移除多餘材料。保留的襯墊層與導電材料形成源極/汲極接點112及閘極接點110於開口中。可進行退火製程以形成矽化物於磊晶的源極/汲極區82與源極/汲極接膽112之間的界面。源極/汲極接點112物理與電性耦接至磊晶的源極/汲極區82,而閘極接點110物理與電性耦接至閘極。源極/汲極接點112與閘極接點110可由不同製程形成,或者由相同製程形成。雖然圖式中的閘極接點110與源極/汲極接點112形成於相同剖面中,但應理解其可形成於不同剖面中以避免接點短路。
圖20與21係一些實施例中,用於對區域50N及/或區域50P進行製程的製程腔室。如圖20所示,製程腔室200包含遠端電漿源205。遠端電漿源205位於製程腔室200的上側腔室210中。遠端電漿源205設定為自製程氣體G1 產生電漿。製程氣體G1 可由氣體供應器235注入上側腔室210。可採用任何合適的製程氣體,比如搭配圖11A與11B說明的上述內容。以遠端電漿源205產生電漿時,在上側腔室210產生製程氣體G1 的自由基G1 *,並以電漿轉移腔室245將自由基G1 *轉移至下側腔室220。
製程腔室200可包含氣體分佈板215位於電漿轉移腔室245與製程腔室200的下側腔室220之間,使自由基G1 *的部份在直線之外,且工件225位於下側腔室220的基座230上。氣體分佈板215可位於下側腔室220中,以將下側腔室220隔成上側部份212與下側部份214 (後續反應發生處)。氣體分佈板215含有孔洞216位於其中,其可讓製程氣體G1 的自由基G1 *的部份自上側腔室移動至下側腔室220。氣體分佈板215可接地。一些自由基G1 *會撞擊氣體分佈板215而轉變為去能量化。一些自由基G1 *會穿過空洞216至下側腔室220。
藉由氣體供應器240,可將前驅物氣體G2 注入下側腔室220的下側部份214。可採用任何合適的前驅物氣體G2 ,比如搭配圖11A與11B說明的上述內容(且將搭配圖22進一步說明如下)。氣體供應器240可設置以將前驅物氣體G2 注入下側腔室220的一或多處。當自由基G1 *接觸前驅物氣體G2 ,自由基G1 *的能量會使反應發生,以形成氧化物(如主要側壁氧化物96)與副產物。氧化物可收集至位於基座230上的工件225上,以形成氧化物層於其上。由於反應為自由基化的製程氣體起始的二次反應,用以形成氧化物層的製程溫度可低於以直接化學反應形成氧化物的反應溫度。舉例來說,製程溫度可介於約40℃至約90℃之間。此外,由於自由基化的製程氣體先穿過氣體分佈板,可減少自由基數目,使足夠的能量等級可用於自遠端電漿源205產生並維持電漿,並能控制抵達下側腔室220及工件225的自由基數目及/或強度。如此一來,在形成主要側壁氧化物96時可減少電漿或自由基對工件225的損傷。
在一些實施例中,可垂直移動基座230,使工件225更靠近或更遠離氣體分佈板215,以進一步控制工件225接收的能量。舉例來說,當工件225較靠近氣體分佈板215時,未反應的自由基G1 *到達工件225的可能性更高,其可能損傷工件225的最頂層,比如搭配圖11A與11B說明的上述主要側壁氧化物96。然而工件225較靠近氣體分佈板215亦會加速沉積氧化物。
如圖21所示,製程腔室300包括電漿源305位於電漿產生腔室310中。電漿產生腔室310經由電漿轉移腔室345連接至反應腔室320。電漿轉移腔室345可自電漿產生腔室310提供電漿至反應腔室320的一側中。工件325位於反應腔室320中的基座330上。電漿源305設置為自製程氣體G1 產生電漿。製程氣體G1 可由氣體供應器335注入電漿產生腔室310中。製程氣體G1 可包含任何合適的製程氣體,比如搭配圖17A、17B、與17C說明的上述內容。以電漿源305產生電漿時,在電漿產生腔室310中產生製程氣體G1 的自由基G1 *。
藉由氣體供應器340,可將前驅物氣體G2 注入反應腔室320。前驅物氣體G2 可包含任何合適氣體,比如搭配圖11A與11B說明的上述內容。氣體供應器340可設置以注入前驅物氣體G2 至反應腔室320中的一或多處。在一些實施例中,氣體供應器340可設置以將前驅物氣體G2 注入電漿轉移腔室345或電漿產生腔室310。當自由基G1 *經由電漿轉移腔室345輸送至反應腔室320並接觸前驅物氣體G2 ,自由基G1 *的能量會使反應發生,以形成氧化物與副產物。氧化物可收集至工件325上,以形成氧化物層於其上。由於反應為自由基化的製程氣體起始的二次反應,用以形成氧化物層的製程溫度可低於以直接化學反應形成氧化物的反應溫度。舉例來說,製程溫度可介於約40℃至約90℃之間。此外,由於自由基化的製程氣體並非直線導向工件325,可減少接觸工件325的自由基數目(與電漿源直線導向工件的製程腔室相較)。如此一來,在形成氧化物時可減少電漿或自由基對工件325的損傷。
在一些實施例中,氣體分佈板可位於電漿產生腔室310與反應腔室320之間。氣體分佈板可與圖20的氣體分佈板215類似,但可垂直安裝。在這些實施例中,氣體分佈板可進一步控制抵達反應腔室320的電漿與自由基。
在一些實施例中,可垂直移動基座330,使工件325靠近或遠離電漿轉移腔室345,以進一步控制工件325接收的能量,比如搭配圖20的基座230說明的上述內容。
應理解的是,搭配圖20與21說明的製程腔室200與300僅用以舉例說明遠端電漿系統,其提供來自製程氣體自由基的反應,並由物理位置及/或中介的氣體分佈板,使工件維持在電漿與自由基的直線之外。可採用或設置任何合適的製程腔室以達此結果。
圖22顯示搭配圖11A與11B說明的一些上述前驅物氣體所用的化學化合物。圖22顯示一些候選的前驅物氣體之化學結構。圖22顯示(A)四甲氧基矽烷、(B)四乙氧基矽烷、(C)二甲氧基二甲基矽烷、(D)甲基三甲氧基矽烷、(E)乙基三甲氧基矽烷、(F)乙烯基三甲氧基矽烷、(G)三矽烷基胺、與(H)雙(三甲氧基矽烷基)乙烷。亦可採用其他前驅物氣體或其組合。如上所述,每一化合物與氧的自由基結合時,可形成氧化矽網狀物與副產物(未圖示)。
實施例提供氧化物所用的沉積技術,其採用遠端產生的電漿使製程氣體的自由基能量化。製程氣體的自由基與前驅物氣體反應,形成氧化物於工件的區域上。由於以遠端電漿形成氧化物,可減少或消除對氧化物下的層狀物(如低介電常數的介電層)之損傷。後續移除氧化物時,下方層狀物可維持未損傷的狀態。舉例來說,遠端電漿沉積技術可用於形成鰭狀場效電晶體裝置之主要側壁氧化物、屏蔽氧化物、犧牲氧化物、或阻障氧化物的中間製程。
一實施例的方法包括:形成閘極堆疊於一或多個半導體帶狀物上。形成閘極密封間隔物以與閘極堆疊的兩側相鄰。形成低介電常數的閘極間隔物以與閘極密封間隔物相鄰,且低介電常數的閘極間隔物包括一低介電常數的介電材料。以遠端氧電漿源與前驅物氣體形成氧化物層於低介電常數的閘極間隔物上。
一些實施例可包括方法的一或多個額外特徵。方法亦可包括圖案化氧化物層以露出源極/汲極區,以及佈植摻質至源極/汲極區中。前驅物氣體可包括四甲氧基矽烷、四乙氧基矽烷、二甲氧基二甲基矽烷、甲基三甲氧基矽烷、乙基三甲氧基矽烷、乙烯基三甲氧基矽烷、雙(三甲氧基矽烷基)乙烷、三矽烷基氨、或上述之組合。遠端氧電漿源可在製程腔室的上側腔室中。前驅物氣體可注入製程腔室的下側腔室。上側腔室與下側腔室可隔有氣體分佈板。遠端氧電漿源與製程腔室可隔有電漿轉移腔室。工件包括閘極堆疊,其可位於遠端氧電漿源的直線路徑之外。形成氧化物層之後,低介電常數的閘極間隔物實質上不含氧。形成氧化物層之後的低介電常數的閘極間隔物之材料組成,可與形成氧化物層之前的低介電常數的閘極間隔物之材料組成相同。
另一實施例的方法包括:形成虛置閘極結構於一或多個半導體帶狀物上並與其垂直。形成閘極間隔物於虛置閘極結構的側壁上,且閘極間隔物包括低介電常數的介電材料。形成虛置間隔物於閘極間隔物上。蝕刻半導體帶狀物的第一半導體帶狀物以使其凹陷,且虛置間隔物在蝕刻時保護閘極間隔物。形成源極/汲極材料於凹陷中。移除虛置間隔物。啟動遠端氧電漿源。將前驅物注入製程腔室,其中遠端氧電漿源的自由基與前驅物反應形成氧化物層於閘極間隔物上。
一些實施例可包括方法的一或多個額外特徵。方法可包括蝕刻氧化物層以移除氧化物層,其中蝕刻氧化物層之前的閘極間隔物具有第一厚度,蝕刻氧化物層之後的閘極間隔物層具有第二厚度,且第二厚度大於95%的第一厚度。在形成氧化物層之後的閘極間隔物可實質上不含氧。形成氧化物層之前的閘極間隔物具有第一材料組成,形成氧化物層之後的閘極間隔物具有第二材料組成,且第一材料組成與第二材料組成可相同。製程腔室與遠端氧電漿源隔有電漿轉移腔室或氣體分佈板。這些額外特徵可結合至上述的其他實施例。
另一實施例的方法包括:形成閘極結構於半導體鰭狀物上,且半導體鰭狀物位於工件上。方法包括形成低介電常數的間隔物層於閘極結構的兩側上。形成源極/汲極區於半導體鰭狀物中以與閘極結構相鄰。形成氧化物層於低介電常數的間隔物層上,且佈植摻質至半導體鰭狀物的源極/汲極區中。氧化物層在佈植時保護低介電常數的間隔物層。方法更包括蝕刻氧化物層而不蝕刻低介電常數的間隔物層。
一些實施例可包括方法的一或多個額外特徵。蝕刻氧化物層的步驟可包括以稀釋氫氟酸濕蝕刻氧化物層。形成氧化物層的步驟可包括自製程腔室遠端產生氧電漿;輸送氧電漿的第一部份至製程腔室;以及提供前驅物氣體至製程腔室,使氧電漿的第一部份與前驅物氣體反應形成氧化物層。方法可更包括:形成蝕刻停止層於源極/汲極區上與低介電常數的間隔物層之側壁上;形成層間介電層於蝕刻停止層上;移除閘極結構的虛置閘極;以及形成置換閘極。形成氧化物層之後的低介電常數的間隔物層之氧化程度小於5%。工件可位於氧電漿源的直線路徑之外。工件與氧電漿源可隔有氣體分佈板。這些額外特徵可結合至上述的其他實施例。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A、B-B、C-C‧‧‧參考剖面 G1‧‧‧製程氣體 G1 *‧‧‧自由基 G2‧‧‧前驅物氣體 50‧‧‧基板 51‧‧‧分隔線 50N、50P‧‧‧區域 52‧‧‧鰭狀物 54‧‧‧絕緣材料 56‧‧‧淺溝槽隔離區 58‧‧‧通道區 60‧‧‧虛置介電層 62‧‧‧虛置閘極層 64‧‧‧遮罩層 72‧‧‧虛置閘極 74‧‧‧遮罩 80‧‧‧閘極密封間隔物 82‧‧‧源極/汲極區 86‧‧‧閘極間隔物 86t‧‧‧虛置側壁間隔物 87‧‧‧接點蝕刻停止層 88‧‧‧第一層間介電層 89‧‧‧區域 90‧‧‧凹陷 92‧‧‧閘極介電層 94‧‧‧閘極 94A‧‧‧襯墊層 94B‧‧‧功函數調整層 94C‧‧‧填充材料 95‧‧‧遠端電漿製程 96‧‧‧主要側壁氧化物 108‧‧‧第二層間介電層 110‧‧‧閘極接點 112‧‧‧源極/汲極接點 200、300‧‧‧製程腔室 205、305‧‧‧電漿源 210‧‧‧上側腔室 212‧‧‧上側部份 214‧‧‧下側部份 215‧‧‧氣體分佈板 216‧‧‧空洞 220‧‧‧下側腔室 225、325‧‧‧工件 230、330‧‧‧基座 235、240、335、340‧‧‧氣體供應器 245、345‧‧‧電漿轉移腔室 310‧‧‧電漿產生腔室 320‧‧‧反應腔室
圖1係一些實施例中,鰭狀場效電晶體的三維圖。 圖2、3、4、5、6、7、8A、8B、9A、9B、10A、10B、10C、10D、11A、11B、12A、12B、13A、13B、14A、14B、15A、15B、16A、16B、17A、17B、17C、18A、18B、19A、與19B係一些實施例中,形成鰭狀場效電晶體的中間階段之剖視圖。 圖20與21係一些實施例的製程腔室。 圖22係一些實施例中,候選前驅物氣體的化學結構與對應的化學反應。
Figure 108120201-A0304-11-0002-1
50‧‧‧基板
52‧‧‧鰭狀物
58‧‧‧通道區
60‧‧‧虛置介電層
72‧‧‧虛置閘極
74‧‧‧遮罩
80‧‧‧閘極密封間隔物
82‧‧‧源極/汲極區
86‧‧‧閘極間隔物
95‧‧‧遠端電漿製程
96‧‧‧主要側壁氧化物

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 形成一閘極堆疊於一或多個半導體帶狀物上; 形成多個閘極密封間隔物以與該閘極堆疊的兩側相鄰; 形成多個低介電常數的閘極間隔物以與該些閘極密封間隔物相鄰,且該低介電常數的閘極間隔物包括一低介電常數的介電材料;以及 以一遠端氧電漿源與一前驅物氣體形成一氧化物層於該低介電常數的閘極間隔物上。
TW108120201A 2018-07-31 2019-06-12 半導體裝置的形成方法 TW202008471A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862712896P 2018-07-31 2018-07-31
US62/712,896 2018-07-31
US16/372,528 2019-04-02
US16/372,528 US11101366B2 (en) 2018-07-31 2019-04-02 Remote plasma oxide layer

Publications (1)

Publication Number Publication Date
TW202008471A true TW202008471A (zh) 2020-02-16

Family

ID=69229869

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108120201A TW202008471A (zh) 2018-07-31 2019-06-12 半導體裝置的形成方法

Country Status (3)

Country Link
US (1) US11101366B2 (zh)
CN (1) CN110783196A (zh)
TW (1) TW202008471A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI787817B (zh) * 2020-05-28 2022-12-21 台灣積體電路製造股份有限公司 半導體元件的製造方法
US11664444B2 (en) 2020-05-28 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor with void and method of forming the same

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11923433B2 (en) * 2020-05-12 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor and method of forming the same
US11955527B2 (en) 2020-10-29 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Nano transistors with source/drain having side contacts to 2-D material
US20220285513A1 (en) * 2021-03-05 2022-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact with low-k contact etch stop layer and method of fabricating thereof

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030018134A (ko) * 2001-08-27 2003-03-06 한국전자통신연구원 조성과 도핑 농도의 제어를 위한 반도체 소자의 절연막형성 방법
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9318367B2 (en) * 2013-02-27 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structure with different fin heights and method for forming the same
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
KR102105363B1 (ko) * 2013-11-21 2020-04-28 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9577101B2 (en) * 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
US9536980B1 (en) * 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9620644B2 (en) * 2015-09-02 2017-04-11 International Business Machines Corporation Composite spacer enabling uniform doping in recessed fin devices
US9748389B1 (en) * 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US9812358B1 (en) * 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US10079290B2 (en) * 2016-12-30 2018-09-18 United Microelectronics Corp. Semiconductor device having asymmetric spacer structures
US10153198B2 (en) * 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10340384B2 (en) * 2017-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing fin field-effect transistor device
US10510861B1 (en) * 2018-06-15 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gaseous spacer and methods of forming same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI787817B (zh) * 2020-05-28 2022-12-21 台灣積體電路製造股份有限公司 半導體元件的製造方法
US11664444B2 (en) 2020-05-28 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor with void and method of forming the same
US12068398B2 (en) 2020-05-28 2024-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor with void and method of forming the same

Also Published As

Publication number Publication date
US20200044043A1 (en) 2020-02-06
US11101366B2 (en) 2021-08-24
CN110783196A (zh) 2020-02-11

Similar Documents

Publication Publication Date Title
CN109841563B (zh) 选择性覆盖工艺和由此形成的结构
TW202008471A (zh) 半導體裝置的形成方法
US10332746B1 (en) Post UV cure for gapfill improvement
TW202011518A (zh) 半導體裝置的形成方法
TW202034378A (zh) 積體電路裝置及其形成方法
TWI792061B (zh) 半導體裝置及其形成方法
CN113497119A (zh) 半导体器件和制造方法
TW202011487A (zh) 半導體裝置的形成方法
TWI807431B (zh) 半導體結構及其製造方法
TW202006831A (zh) 半導體裝置與其形成方法
TWI830928B (zh) 半導體裝置與其形成方法
TW202143338A (zh) 半導體裝置的製造方法
US20220384593A1 (en) Inter-Layer Dielectrics and Etch Stop Layers for Transistor Source/Drain Regions
US20230163075A1 (en) Semiconductor Device and Method
TWI793622B (zh) 包含有多層罩幕層之半導體裝置的形成方法
TWI752874B (zh) 半導體裝置和製造半導體裝置的方法
US11710777B2 (en) Semiconductor device and method for manufacture
US11302567B2 (en) Shallow trench isolation forming method and structures resulting therefrom
KR20220118286A (ko) 반도체 디바이스 및 방법
TWI843525B (zh) 半導體裝置及其形成方法
TWI831041B (zh) 半導體裝置的形成方法
TWI852024B (zh) 半導體裝置及其形成方法
TWI845103B (zh) 半導體裝置結構之形成方法
US11929401B2 (en) Method of forming a source/drain
US20230238271A1 (en) Semiconductor Device and Method