TWI690995B - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TWI690995B
TWI690995B TW105142915A TW105142915A TWI690995B TW I690995 B TWI690995 B TW I690995B TW 105142915 A TW105142915 A TW 105142915A TW 105142915 A TW105142915 A TW 105142915A TW I690995 B TWI690995 B TW I690995B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
radiation
rrm
region
Prior art date
Application number
TW105142915A
Other languages
English (en)
Other versions
TW201729288A (zh
Inventor
張書豪
高國璋
黃建元
陳政宏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201729288A publication Critical patent/TW201729288A/zh
Application granted granted Critical
Publication of TWI690995B publication Critical patent/TWI690995B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明之實施例提供一種半導體裝置的製造方法。方法包括:形成輻射可移除材料(RRM)層於基底上方;藉由將基底的第一區中之輻射可移除材料(RRM)層的第一部份曝光至輻射束,以移除輻射可移除材料(RRM)層的第一部份。在基底的第一區中之輻射可移除材料(RRM)層的第一部份移除之後,基底的第二區中之輻射可移除材料(RRM)層的第二部分留下。方法亦包括:形成選擇性形成層(SFL)於基底的第二區中之輻射可移除材料(RRM)層的第二部分上方;以及形成材料層於基底的第一區上方。

Description

半導體裝置的製造方法
本發明實施例係關於半導體裝置的製造方法。
半導體積體電路(IC)產業歷經了指數成長。IC材料及設計上的技術進步已產生了數代的IC,其中每一代皆比前一代具有體積更小且更精密的電路。在IC發展的進程上,功能密度(即,每一晶片之內連線裝置的數量)逐漸增加的同時,幾何尺寸(即,利用製程步驟可以產生之最小元件(或線))逐漸縮小。
此微縮化(scaling down)製程通常可提供增加產率及降低相關成本之益處。此微縮化亦增加了IC製造的複雜度。為了實現這些進展,IC製造也需要類似發展。雖然IC裝置現有的製造方法通常已足以達到所欲之目的,但並非在每個方面都十分令人滿意。例如,仍需要改良材料層之圖案化。
本發明提供許多製造半導體裝置之不同實施例,其提供對現有方法之一或多個改良。在一實施例中,一種製造半導體裝置的方法包括:形成輻射可移除材料(RRM)層於基底上方;藉由將基底的第一區中之輻射可移除材料(RRM)層的第一部份曝光至輻射束,以移除輻射可移除材料(RRM)層的第一部份。因此,在基底的第一區中之輻射可移除材料(RRM)層的 第一部份移除之後,基底的第二區中之輻射可移除材料(RRM)層的第二部分留下。方法亦包括:形成選擇性形成層(SFL)於基底的第二區中之輻射可移除材料(RRM)層的第二部分上方;以及形成材料層於基底的第一區上方。
在另一實施例中,方法包括:形成輻射可移除材料(RRM)層於基底上方;以及藉由穿過光罩的極紫外(EUV)光束來曝光基底的第一區中之輻射可移除材料(RRM)層,以移除輻射可移除材料(RRM)層的第一部份。因此,在基底的第一區中之輻射可移除材料(RRM)層的第一部份移除之後,基底的第二區中之輻射可移除材料(RRM)層的第二部分留下。方法亦包括:形成自組裝單分子層(SAM)於第二區中之輻射可移除材料(RRM)層的第二部分上方;以及形成材料層於基底的第一區上方。
在又一實施例中,方法包括:對介電基底實行六甲基二矽氮烷(HMDS)處理,以改質介電基底的表面;以及在氫氣環境下,將介電基底曝光至穿過光罩的極紫外(EUV)光束,以移除介電基底的第一區中經改質表面的第一部份。因此,在第一區中之經改質表面的第一部份移除之後,介電基底的第二區中之經改質表面的第二部份留下。方法亦包括:形成自組裝單分子層(SAM)於第二區中之經改質表面的第二部分上方;以及形成金屬氧化層於介電基底的第一區上方。
100:方法
102:步驟
104:步驟
106:步驟
108:步驟
110:步驟
112:步驟
200:半導體裝置
210:基底
310:輻射可移除材料(RRM)層
340:未曝光區(第一區)
350:曝光區(第二區)
410:光源
420:輻射束
430:氫氣
440:光罩
450:圖案化輻射可移除材料(RRM)層
510:選擇性形成層(SFL)
510:自組裝單分子層(SAM)
610:材料層
620:圖案化材料層
以下將配合所附圖式詳述本發明之實施例,應注意的是,依照工業上的標準實施,以下圖示並未按照比例繪 製,事實上,可能任意的放大或縮小元件的尺寸以便清楚表現出本發明的特徵。而在說明書及圖式中,除了特別說明外,同樣或類似的元件將以類似的符號表示。
第1圖係根據一些實施例,製造半導體裝置之例示方法之流程圖。
第2、3A、3B、4、5及6圖係根據一些實施例,例示半導體裝置的剖面圖。
以下提供許多不同的實施方法或是例子來實行各種實施例之不同特徵。以下描述具體的元件及其排列的例子以闡述本發明。當然這些僅是例子且不該以此限定本發明的範圍。例如,元件的尺寸並不限定於所揭露的範圍或數值,而是取決於製程條件及/或裝置所期望的性質。此外,在描述中提及第一個元件形成於第二個元件上時,其可以包括第一個元件與第二個元件直接接觸的實施例,也可以包括有其他元件形成於第一個與第二個元件之間的實施例,其中第一個元件與第二個元件並未直接接觸。為簡化及清楚起見,各種特徵可任意繪製成不同尺寸。
此外,其中可能用到與空間相關的用詞,像是“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,這些關係詞係為了便於描述圖示中一個(些)元件或特徵與另一個(些)元件或特徵之間的關係。這些空間關係詞包括使用中或操作中的裝置之不同方位,以及圖示中所描述的方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則 其中使用的空間相關形容詞也可相同地照著解釋。
第1圖係根據一些實施例,製造一或多個半導體裝置之方法100之流程圖。下方將配合如第2、3A、3B、4、5及6所示之半導體裝置200來詳細說明方法100。
請參照第1及2圖,方法100開始於步驟102,提供基底210。基底210包括矽。替代地或額外地,基底210可包括其他元素半導體,例如鍺。基底210亦可包括化合物半導體,例如碳化矽、砷化鎵、砷化銦及磷化銦。基底210可包括合金半導體,例如矽鍺、碳化矽鍺、磷化鎵砷及磷化鎵銦。在一實施例中,基底210可包括磊晶層。舉例來說,基底可具有上覆於塊狀半導體之磊晶層。此外,基底210可包括絕緣體上半導體(semiconductor-on-insulator,SOI)基底。舉例來說,基底210可包括藉由諸如以氧離子植入矽晶隔離法(separation by implanted oxygen,SIMOX)來分離之製程或藉由諸如晶圓接合及研磨之其它合適技術所形成之埋藏氧化物層(buried oxide layer,BOX)。
基底210亦可包括介電基底,例如氧化矽、氮化矽、氮氧化矽、低介電常數(low-k)介電質、碳化矽及/或其他合適的層。
基底210亦可包括各種p型摻雜區及/或n型摻雜區,其係藉由諸如離子佈植及/或擴散之製程來植入。這些摻雜區包括n井、p井、光摻雜區(light doped region,LDD)及各種通道摻雜輪廓(profiles),其設置以形成各種積體電路(IC)裝置,例如:互補金氧半場效電晶體(complimentary metal-oxide-semiconductor field-effect transistor,CMOSFET)、影像感測器及/或發光二極體(light emitting diode,LED)。基底210更可包括其它功能性特徵,例如:電阻及/或電容形成於基底之中及/或之上。
基底210亦可包括各種隔離特徵。隔離特徵分隔基底210中之各種裝置區。隔離特徵包括藉由使用不同製程技術所形成之不同結構。例如,隔離特徵可包括淺溝槽隔離(shallow trench isolation,STI)特徵。淺溝槽隔離(STI)之形成可包括蝕刻溝槽於基底210中,以及以諸如氧化矽、氮化矽及/或氮氧化矽之絕緣材料填充溝槽。填充的溝槽可具有多層結構,例如以氮化矽填充溝槽之熱氧化物襯層。可實行化學機械拋光(chemical mechanical polishing,CMP)以回拋(polish back)過多的絕緣材料及平坦化絕緣特徵的頂表面。
基底210亦可包括藉由介電層及電極層所形成之閘極堆疊。介電層可包括界面層(interfacial layer,IL)及高介電常數(high-k,HK)介電層,其係藉由合適的技術所沉積,例如:化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、熱氧化、上述組合及/或其它合適技術。界面層(IL)可包括氧化物、HfSiO及氮氧化物,且高介電常數(HK)介電層可包括LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、(SiON)氮氧化物及/或其它合適材料。電極層可包括單層或多層結構, 例如:具有功函數以增進裝置性能之金屬層(功函數金屬層)、襯層、潤濕層、黏合層以及金屬、金屬合金或金屬矽化物之導電層之各種組合。金屬閘極(MG)電極420可包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、任何合適材料及/或上述之組合。
基底210亦可包括複數個層間介電(inter-level dielectric,ILD)層及導電特徵,其整合以形成配置來耦合各種p型及n型摻雜區與其它功能性特徵(例如閘極電極)之互連結構,產生一功能性積體電路。在一實例中,基底210可包括互連結構的一部分,且互連結構包括多層互連(multi-layer interconnect,MLI)結構及與多層互連(MLI)結構整合的介層介電(ILD)層,提供電性佈線以將基底210中之各種裝置耦合至輸入/輸出功率及信號。互連結構包括各種金屬線、接觸件及介層窗特徵(或介層插塞)。金屬線提供水平電性佈線。接觸件提供矽基底與金屬線之間的垂直連接,而介層窗特徵提供金屬線於不同金屬層之間的垂直連接。
通常,基底210或基底210上方的膜層將藉由光微影製程來圖案化。光微影製程使用光以將圖案(例如幾何圖案)從光罩轉移至基底上的光敏感層(例如:光阻(photoresist)或簡稱”阻層(resist)”)。光在光敏感層的曝光區中造成化學變化,其可增加或減少曝光區的溶解度。可在曝光基底之前或之後實行烘烤製程,例如後曝光烘烤製程。顯影製程利用顯影劑於基底上方創造曝光圖案,以選擇性移除曝光或未曝光區。當光阻曝光至極紫外(EUV)光時,產生小量的酸於曝光區域之中。於 後曝光烘烤(post-exposure bake,PEB)步驟期間,此酸催化了附著至光阻的聚合物鏈之基團的片段(去保護)並改變其化學性質。最後,光阻的去保護部分溶解在液體顯影劑中。於後曝光烘烤(PEB)步驟期間,光阻中酸的擴散導致圖案化區域之邊緣模糊,並造成解析度限制、線邊緣粗糙(line edge roughness,LER)及圖案崩壞之問題。本發明實施例提供一種圖案化製程,其不使用光阻及隨後的顯影製程。
請再次參照第1及2圖,方法100繼續至步驟104,形成輻射可移除材料(radiation-removable-material,RRM)層310於基底210上方。當在隨後步驟中曝光至輻射時,輻射可移除材料(RRM)層310將被移除。在本實施例中,輻射可移除材料(RRM)層310可包括具有非環狀結構及環狀結構之有機材料,且環狀結構可為芳香環及非芳香環,其可以包含官能基以增加附著性,例如:-F、-Cl、-Br、-I、-PO(OH)2、-PO4、-BO3、-C2O4、-NO3、-SO3、-CO3、-S、-CN、-CH3COO、-NH2、-ClO4、-ClO3、-ClO2、-ClO、-COOH、-OH、-SH、-N3、-S(=O)-、亞胺、醚、乙烯基醚、縮醛、半縮醛、酯、醛,酮、醯胺、碸、醋酸、氰化物。可藉由化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、熱氧化、旋轉塗佈、上述組合或其它合適技術來沉積輻射可移除材料(RRM)層310。
或者,可藉由實行六甲基二矽氮烷(hexamethyldisilizane,HMDS)處理來形成輻射可移除材料(RRM)層310,以將基底210的頂部(表面)改質成輻射可移除材料(RRM)層310。在一實施例中,對氧化矽基底210的頂表面實 行六甲基二矽氮烷(HMDS)處理。在六甲基二矽氮烷(HMDS)處理中,以氣相形式將六甲基二矽氮烷(HMDS)試劑引入含有氧化矽基底210的腔室中。作為一”羥基吸收劑(hydroxyl getter)”,六甲基二矽氮烷(HMDS)化學移除表面OH基。首先,六甲基二矽氮烷(HMDS)與氧化物表面的水反應以產生氣態NH3、氧及惰性六甲基二矽氧烷(hexamethyldisiloxane)。這產生了脫水表面。接著,額外的六甲基二矽氮烷(HMDS)與釋放的氧反應以形成三甲基甲矽烷基(thrimethylsilyl)氧化物種類(species),其化學接合至上述表面。這些反應持續進行直到氧化物基底210的整個表面被上述種類覆蓋,或氧化物基底210的整個表面被改質成輻射可移除材料(RRM)層310,其被稱為六甲基二矽氮烷(HMDS)輻射可移除材料(RRM)層310。在一實施例中,於約90℃至約150℃的溫度下實行六甲基二矽氮烷(HMDS)處理約2秒至約1000秒的時間。
請參照第1及3A圖,方法100繼續至步驟106,將輻射可移除材料(RRM)層310曝光至輻射束以形成圖案化輻射可移除材料(RRM)層450於基底210上方。在本實施例中,輻射可移除材料(RRM)層310曝光至從光源410穿過光罩(罩幕或網線(reticle))440之輻射束420。
根據欲製造之IC產品的規格,光罩440具有為IC產品所設計之預定義圖案(具有複數個第一區340及第二區350)。光罩440的圖案相應於構成IC裝置的各種元件之材料的圖案。舉例來說,IC設計佈局的一部分包括各種IC特徵,其形成於基底210及設置在基底210上之各種材料層中,上述IC特徵例如: 主動區、閘極電極、源極及汲極、介層互連結構之金屬線或介層窗,以及接合墊之開口。在第一區340中,藉由光罩440阻擋輻射束420,使其免於到達輻射可移除材料(RRM)層310,其係為未曝光區(第一區)340。而在第二區350中,輻射束420未被光罩440阻擋且可以穿過光罩440以到達輻射可移除材料(RRM)層310,其係為曝光區(第二區)350。如此一來,在曝光區(第二區)350中,輻射可移除材料(RRM)層310接收輻射束420且接著被移除;而在未曝光區(第一區)340中,輻射可移除材料(RRM)層310未接收輻射束420且留下來。換句話說,如第3B圖所示,形成具有圖案的圖案化輻射可移除材料(RRM)層450,其圖案係藉由光罩440的預定義圖案所定義。形成圖案化輻射可移除材料(RRM)層450,使得基底210在未曝光區(第一區)340中被輻射可移除材料(RRM)層310所覆蓋,而暴露於曝光區(第二區)350中。
光源410可為各種來源,包括氟化氪(Krypton Fluoride,KrF)準分子雷射(excimer lasers)之248nm光束、氟化氬(Argon Fluoride,ArF)準分子雷射之193nm光束、氟氣(Fluoride,F2)準分子雷射之157nm光束或具有較長波長之其它光源。光源410亦可包括光學來源,其選自於由紫外(ultraviolet,UV)光源、深紫外(deep ultraviolet,DUV)光源、極紫外(EUV)光源及X射線光源所組成之群組及/或其它合適光源。
在本實施例中,如第3A圖所示,在氫氣430的環境下,將穿過光罩440的極紫外(EUV)輻射束420實行至六甲基二矽氮烷(HMDS)輻射可移除材料(RRM)層310,以移除曝光區(第 二區)350中之六甲基二矽氮烷(HMDS)輻射可移除材料(RRM)層310,並接著形成圖案化六甲基二矽氮烷(HMDS)輻射可移除材料(RRM)層450。如此一來,未曝光區(第一區)340中的六甲基二矽氮烷(HMDS)輻射可移除材料(RRM)層310(經改質表面)留下,而不具六甲基二矽氮烷(HMDS)輻射可移除材料(RRM)層310之氧化物基底210暴露於曝光區(第二區)350中。也就是說,不同於典型的光微影製程,將輻射可移除材料(RRM)層310圖案化而未實行顯影步驟。
請參照第1及4圖,方法100繼續至步驟108,形成選擇性形成層(selective-forming-layer,SFL)510於未曝光區(第一區)340中殘留的輻射可移除材料(RRM)層310上方,並留下基底210暴露於曝光區(第二區)350中。在本實施例中,選擇性形成層(SFL)510為自組裝單分子層(self-assembled monolayer,SAM)510。自組裝單分子層(SAM)510可包括非環狀結構及環狀結構、芳香環及非芳香環。其包含至少一個單牙配位基膦酸。在一實施例中,藉由將十八烷基膦酸(octadecylphosphonic acid,ODPA)之溶液塗覆至具有圖案化六甲基二矽氮烷(HMDS)輻射可移除材料(RRM)層450的氧化物基底210,接著以甲醇沖洗並藉由壓縮空氣乾燥,以形成自組裝單分子層(SAM)510。因此,自組裝單分子層(SAM)510被稱為十八烷基膦酸(ODPA)自組裝單分子層(SAM)510。舉例來說,藉由將氧化物基底210浸入十八烷基膦酸(ODPA)之溶液中以形成十八烷基膦酸(ODPA)自組裝單分子層(SAM)510。又舉例來說,藉由以十八烷基膦酸(ODPA)之溶液來沖洗氧化物基底210以形成十八烷基膦酸 (ODPA)自組裝單分子層(SAM)510。
請參照第1及5圖,方法100繼續至步驟110,形成材料層610於曝光區(第二區)350中暴露的基底210上方。在本實施例中,藉由區域選擇性原子層沉積(ALD)技術或區域選擇性分子層沉積(molecular layer deposition,MLD)技術來形成材料層610,使得材料層610選擇性沉積在暴露的基底210上方,而未沉積於選擇性形成層(SFL)510上方。
材料層610可包括諸如Ce、La、Sb、Bi、Pb、Hf、Zr、Ti、Cr、W、Mo、Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、Ag、Au、Zn、Cd、Al、Ga、Tl、Ge、Sn、Pb及Bi等金屬的氧化物、氟化物及有機金屬化合物。有機金屬錯合物的配位基可包括具有諸如環氧、偶氮化合物、鹵烷、亞胺、烯、炔、過氧化物、酮、醛、丙二烯、芳香基或雜環基等官能基之C3-C20烷基。芳香結構可包括苯基、萘基、菲基、蒽基、萉基(phenalenyl)及其它含有一至五員環之芳香衍生物。
材料層610亦可包括金屬,例如:Ce、La、Sb、Bi、Pb、Hf、Zr、Ti、Cr、W、Mo、Fe、Ru、Os、Co、Rh、Ir、Ni、Pd、Pt、Cu、Ag、Au、Zn、Cd、Al、Ga、Tl、Ge、Sn、Pb、Bi及/或其它合適金屬。
材料層610亦可包括聚合物,其具有諸如非環狀結構及環狀結構之化學結構。環狀結構可包括芳香環及非芳香環。聚合物可包含官能基,例如:F、-Cl、-Br、-I、-PO(OH)2、-PO4、-BO3、-C2O4、-NO3、-SO3、-CO3、-S、-CN、-CH3COO、-NH2、-ClO4、-ClO3、-ClO2、-ClO、-COOH、-OH、-SH、-N3、 -S(=O)-、亞胺、醚、乙烯基醚、縮醛、半縮醛、酯、醛,酮、醯胺、碸、醋酸、氰化物及/或其它合適聚合物化學結構。
在本實施例中,材料層610為金屬氧化物層,其藉由原子層沉積(ALD)技術選擇性地沉積在氧化物基底210上方。
請參照第1及6圖,方法100繼續至步驟112,選擇性地移除選擇性形成層(SFL)510及從未曝光區(第一區)340中殘留的輻射可移除材料(RRM)層310。可藉由選擇性濕蝕刻、選擇性乾蝕刻及/或其組合來移除選擇性形成層(SFL)510及殘留的輻射可移除材料(RRM)層310。舉例來說,選擇性濕蝕刻溶液可包括TMAH、HNO3、NH4OH、KOH、HF、HCl、NaOH、H3PO4及/或其它合適濕蝕刻溶液或上述組合。或者,選擇性乾蝕刻製程可使用含氯氣體(例如:Cl2、CHCl3、CCl4及/或BCl3)、含溴氣體(例如:HBr及/或CHBr3)、含碘氣體、含氟氣體(例如:CF4、SF6、CH2F2、CHF3及/或C2F6)及/或其它合適氣體,及/或電漿,及/或上述之組合。
在本實施例中,可藉由四甲基氫氧化銨(TMAH)濕蝕刻以選擇性移除十八烷基膦酸(ODPA)自組裝單分子層(SAM)510及六甲基二矽氮烷(HMDS)輻射可移除材料(RRM)層310。
在移除選擇性形成層(SFL)510及殘留的輻射可移除材料(RRM)層310之後,材料層610保留在具有圖案的基底上方,其稱為圖案化材料層620,且光罩440的圖案係設計用於圖案化材料層620。形成圖案化材料層620使得材料層610形成於曝光區(第二區)350上方,但未形成於未曝光區(第一區)340上 方。
可以於方法100之前、期間及之後提供額外的步驟,且一些所述之步驟在方法100之額外的實施例中可以被取代、刪除或移動。
基於上述,本發明之實施例提供藉由極紫外(EUV)曝光、自組裝單分子層(SAM)形成及原子層沉積/分子層沉積(ALD/MLD)以將材料層圖案化的方法。此方法採用實行六甲基二矽氮烷(HMDS)處理以改質基底之表面,並於氫氣環境下實行極紫外(EUV)曝光製程,以在所選區域中移除經改質基底之頂表面。也就是說,此方法達成將材料層圖案化而不需經過典型的顯影製程,其包括於曝光基底之後施用顯影溶液。此方法更顯示將材料層圖案化,使其具有提高的解析度並減少圖案崩壞的問題。
本發明提供許多製造半導體裝置之不同實施例,其提供對現存方法之一或多個改善。在一實施例中,一種製造半導體裝置的方法包括:形成輻射可移除材料(RRM)層於基底上方;藉由將基底的第一區中之輻射可移除材料(RRM)層的第一部份曝光至輻射束,以移除輻射可移除材料(RRM)層的第一部份。因此,在基底的第一區中之輻射可移除材料(RRM)層的第一部份移除之後,基底的第二區中之輻射可移除材料(RRM)層的第二部分留下。方法亦包括:形成選擇性形成層(SFL)於基底的第二區中之輻射可移除材料(RRM)層的第二部分上方;以及形成材料層於基底的第一區上方。
在一些實施例中,其中藉由將輻射可移除材料 (RRM)層的第一部份曝光至輻射束以移除基底的第一區中之輻射可移除材料(RRM)層的第一部份包括:藉由穿過光罩的輻射束來曝光輻射可移除材料(RRM)層的第一部分,其中光罩阻擋基底的第二區中之輻射束。
在一些實施例中,其中輻射束為極紫外(EUV)光束。
在一些實施例中,其中形成輻射可移除材料(RRM)層於基底上方包括實行六甲基二矽氮烷(HMDS)處理以改質基底的一部份。
在一些實施例中,其中基底為介電基底。
在一些實施例中,其中藉由將輻射可移除材料(RRM)層的第一部份曝光至輻射束以移除基底的第一區中之輻射可移除材料(RRM)層的第一部份包括:在氫氣環境下,將介電基底曝光至極紫外(EUV)光束。
在一些實施例中,其中形成選擇性形成層(SFL)於第二區中之輻射可移除材料(RRM)層上方包括:形成自組裝單分子層(SAM)。
在一些實施例中,其中形成自組裝單分子層(SAM)包括:將十八烷基膦酸(ODPA)之溶液施用至基底。
在一些實施例中,其中形成材料層於基底的第一區上方包括:藉由原子層沉積(ALD)或分子層沉積(MLD)來選擇性形成材料層。
在一些實施例中,其中形成材料層於基底的第一區上方包括:形成金屬氧化物層於基底的第一區上方。
在一些實施例中,方法更包括:在形成材料層於基底的第一區上方之後,移除基底的第二區中之選擇性形成層(SFL)及輻射可移除材料(RRM)層。
在另一實施例中,方法包括:形成輻射可移除材料(RRM)層於基底上方;以及藉由穿過光罩的極紫外(EUV)光束來曝光基底的第一區中之輻射可移除材料(RRM)層,以移除輻射可移除材料(RRM)層的第一部份。因此,在基底的第一區中之輻射可移除材料(RRM)層的第一部份移除之後,基底的第二區中之輻射可移除材料(RRM)層的第二部分留下。方法亦包括:形成自組裝單分子層(SAM)於第二區中之輻射可移除材料(RRM)層的第二部分上方;以及形成材料層於基底的第一區上方。
在一些實施例中,其中基底為氧化物基底;及其中形成輻射可移除材料(RRM)層於基底上方包括:對氧化物基底實行六甲基二矽氮烷(HMDS)處理。
在一些實施例中,其中藉由穿過光罩的極紫外(EUV)光束來曝光基底包括:在氫氣環境下,藉由極紫外(EUV)光束來曝光氧化物基底。
在一些實施例中,其中形成自組裝單分子層(SAM)於第二區中之輻射可移除材料(RRM)層上方包括:將十八烷基膦酸(ODPA)之溶液施用至氧化物基底。
在一些實施例中,其中形成材料層於基底的第一區上方包括:形成金屬氧化物層於基底的第一區上方。
在一些實施例中,方法更包括:在形成材料層於 基底的第一區上方之後,移除基底的第二區中之自組裝單分子層(SAM)。
在又一實施例中,方法包括:對介電基底實行六甲基二矽氮烷(HMDS)處理,以改質介電基底的表面;以及在氫氣環境下,將介電基底曝光至穿過光罩的極紫外(EUV)光束,以移除介電基底的第一區中經改質表面的第一部份。因此,在第一區中之經改質表面的第一部份移除之後,介電基底的第二區中之經改質表面的第二部份留下。方法亦包括:形成自組裝單分子層(SAM)於第二區中之經改質表面的第二部分上方;以及形成金屬氧化層於介電基底的第一區上方。
在一些實施例中,其中形成自組裝單分子層(SAM)包括:將十八烷基膦酸(ODPA)之溶液施用至具有經改質表面的介電基底。
在一些實施例中,方法更包括:在形成金屬氧化層於介電基底的第一區上方之後,移除第二區中之自組裝單分子層(SAM)。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以更佳的了解本發明的各個方面。本技術領域中具有通常知識者應該可理解,他們可以很容易的以本發明為基礎來設計或改質其它製程及結構,並以此達到相同的目的及/或達到與本發明介紹的實施例相同的優點。本技術領域中具有通常知識者也應該了解這些相等的結構並不會背離本發明的發明精神與範圍。本發明可以作各種改變、置換、修改而不會背離本發明的發明精神與範圍。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧步驟
112‧‧‧步驟

Claims (10)

  1. 一種半導體裝置的製造方法,包括:形成一輻射可移除材料(radiation-removable-material,RRM)層於一基底上方;藉由將該基底的一第一區中之該輻射可移除材料(RRM)層的一第一部份曝光至一輻射束,以移除該輻射可移除材料(RRM)層的該第一部份,其中,在該基底的該第一區中之該輻射可移除材料(RRM)層的該第一部份移除之後,該基底的一第二區中之該輻射可移除材料(RRM)層的一第二部分留下;形成一選擇性形成層(selective-forming-layer,SFL)於該基底的該第二區中之該輻射可移除材料(RRM)層的該第二部分上方;以及形成一材料層於該基底的該第一區上方。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中藉由將該輻射可移除材料(RRM)層的該第一部份曝光至該輻射束以移除該基底的該第一區中之該輻射可移除材料(RRM)層的該第一部份包括:藉由穿過一光罩的該輻射束來曝光該輻射可移除材料(RRM)層的該第一部分,其中該光罩阻擋該基底的該第二區中之該輻射束。
  3. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中該輻射束為極紫外(EUV)光束。
  4. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中形成該輻射可移除材料(RRM)層於該基底上方包括實行六 甲基二矽氮烷(hexamethyldisilizane,HMDS)處理以改質該基底的一部份。
  5. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中形成該選擇性形成層(SFL)於該第二區中之該輻射可移除材料(RRM)層上方包括:形成一自組裝單分子層(self-assembled monolayer,SAM)。
  6. 如申請專利範圍第5項所述之半導體裝置的製造方法,其中形成該自組裝單分子層(SAM)包括:將十八烷基膦酸(octadecylphosphonic acid,ODPA)之溶液施用至該基底。
  7. 一種半導體裝置的製造方法,包括:於一基底上方形成一輻射可移除材料(radiation-removable-material,RRM)層;藉由穿過一光罩的一極紫外(EUV)光束來曝光該輻射可移除材料(RRM)層,以移除該基底的該第一區中之該輻射可移除材料(RRM)層的一第一部份,其中在移除該第一區中之該輻射可移除材料(RRM)層的該第一部份之後,留下該基底的一第二區中之該輻射可移除材料(RRM)層的一第二部分;於該第二區中之該輻射可移除材料(RRM)層的該第二部分上方形成一自組裝單分子層(self-assembled monolayer,SAM);以及於該基底的該第一區上方形成一材料層。
  8. 如申請專利範圍第7項所述之半導體裝置的製造方法,其中該基底為一氧化物基底,且其中於該基底上方形成該輻射可移除材料(RRM)層包括:對該氧化物基底實行六甲基二矽氮 烷(hexamethyldisilizane,HMDS)處理。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,其中藉由穿過該光罩的該極紫外(EUV)光束來曝光該基底包括:在氫氣環境下,藉由該極紫外(EUV)光束來曝光該氧化物基底。
  10. 一種半導體裝置的製造方法,包括:對一介電基底實行六甲基二矽氮烷(hexamethyldisilizane,HMDS)處理,以改質該介電基底的一表面;在氫氣環境下,將該介電基底曝光至穿過一光罩的一極紫外(EUV)光束,以移除該介電基底的一第一區中經改質的該表面的一第一部份,在移除該第一區中經改質的該表面的該第一部份之後,留下該介電基底的一第二區中經改質的該表面的一第二部份;於該第二區中經改質的該表面的該第二部份上方形成一自組裝單分子層(self-assembled monolayer,SAM);以及在該介電基底的該第一區上方形成一金屬氧化層。
TW105142915A 2016-02-10 2016-12-23 半導體裝置的製造方法 TWI690995B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/040,533 2016-02-10
US15/040,533 US9570302B1 (en) 2016-02-10 2016-02-10 Method of patterning a material layer

Publications (2)

Publication Number Publication Date
TW201729288A TW201729288A (zh) 2017-08-16
TWI690995B true TWI690995B (zh) 2020-04-11

Family

ID=57965086

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105142915A TWI690995B (zh) 2016-02-10 2016-12-23 半導體裝置的製造方法

Country Status (3)

Country Link
US (1) US9570302B1 (zh)
CN (1) CN107068542B (zh)
TW (1) TWI690995B (zh)

Families Citing this family (280)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10566428B2 (en) * 2018-01-29 2020-02-18 Raytheon Company Method for forming gate structures for group III-V field effect transistors
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11187983B2 (en) 2018-06-26 2021-11-30 International Business Machines Corporation EUV patterning of monolayers for selective atomic layer deposition
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10615037B2 (en) * 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20220020834A (ko) * 2019-06-12 2022-02-21 도쿄엘렉트론가부시키가이샤 반도체 디바이스의 평탄화
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP3919979A1 (en) 2020-06-02 2021-12-08 Imec VZW Resistless patterning mask
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090256144A1 (en) * 2008-02-29 2009-10-15 Masataka Kano Method for manufacturing organic transistor and organic transistor

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079600A (en) * 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US6911400B2 (en) * 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
US7394155B2 (en) 2004-11-04 2008-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Top and sidewall bridged interconnect structure and method
CN100590904C (zh) * 2006-06-06 2010-02-17 中华映管股份有限公司 图案化制程及应用此制程的有机薄膜晶体管的制作方法
US8003281B2 (en) 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
KR101535227B1 (ko) * 2008-12-31 2015-07-08 삼성전자주식회사 블록 공중합체를 이용한 미세 패턴 형성 방법
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US8621406B2 (en) 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US20130320451A1 (en) 2012-06-01 2013-12-05 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Semiconductor device having non-orthogonal element
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US8799834B1 (en) 2013-01-30 2014-08-05 Taiwan Semiconductor Manufacturing Company Limited Self-aligned multiple patterning layout design
US8900989B2 (en) * 2013-03-06 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an air gap using a damascene process and structure of same
US9054159B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
US9501601B2 (en) 2013-03-14 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Layout optimization of a main pattern and a cut pattern
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
CN105152125B (zh) * 2015-08-10 2017-04-19 中山大学 一种基于微沟道结构的微纳米材料有序自组装图形化方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090256144A1 (en) * 2008-02-29 2009-10-15 Masataka Kano Method for manufacturing organic transistor and organic transistor

Also Published As

Publication number Publication date
TW201729288A (zh) 2017-08-16
CN107068542B (zh) 2022-01-07
US9570302B1 (en) 2017-02-14
CN107068542A (zh) 2017-08-18

Similar Documents

Publication Publication Date Title
TWI690995B (zh) 半導體裝置的製造方法
US9418862B2 (en) Method for integrated circuit patterning
JP7219278B2 (ja) 極紫外線(euv)リソグラフィにおいて感度を向上させるための金属含有トップ・コートを用いるパターニング材料積層膜
US9728408B2 (en) Method of semiconductor integrated circuit fabrication
US11398381B2 (en) Method for forming semiconductor structure
US9589800B2 (en) Method for integrated circuit patterning
US9875906B2 (en) Mechanisms for forming patterns using multiple lithography processes
US10395925B2 (en) Patterning material film stack comprising hard mask layer having high metal content interface to resist layer
US8183162B2 (en) Method of forming a sacrificial layer
US20150214226A1 (en) Method and structure for gap filling improvement
KR101708537B1 (ko) 반도체 집적 회로 제조 방법
CN107204278B (zh) 在材料层中形成开口的方法
US9728407B2 (en) Method of forming features with various dimensions
TW201523734A (zh) 基板上形成互連圖案的方法
CN113948448A (zh) 包括含碳层的图案化材料和用于半导体器件制造的方法
US9543161B1 (en) Method of planarizating film
CN113284792A (zh) 半导体元件的形成方法
CN106356303B (zh) 一种半导体器件及其制作方法、电子装置
US20230012705A1 (en) Humidity control or aqueous treatment for euv metallic resist
TWI804806B (zh) 製造半導體元件的方法
Nojiri et al. Latest Dry Etching Technologies