JP7219278B2 - 極紫外線(euv)リソグラフィにおいて感度を向上させるための金属含有トップ・コートを用いるパターニング材料積層膜 - Google Patents

極紫外線(euv)リソグラフィにおいて感度を向上させるための金属含有トップ・コートを用いるパターニング材料積層膜 Download PDF

Info

Publication number
JP7219278B2
JP7219278B2 JP2020531777A JP2020531777A JP7219278B2 JP 7219278 B2 JP7219278 B2 JP 7219278B2 JP 2020531777 A JP2020531777 A JP 2020531777A JP 2020531777 A JP2020531777 A JP 2020531777A JP 7219278 B2 JP7219278 B2 JP 7219278B2
Authority
JP
Japan
Prior art keywords
metal
resist layer
top coat
containing top
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020531777A
Other languages
English (en)
Other versions
JP2021508071A (ja
Inventor
シルバ、エクミニ、アニュジャ デ
ゴールドファーブ、ダリオ
フェリックス、ネルソン
コーリス、ダニエル
ウォジテキ、ルディ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2021508071A publication Critical patent/JP2021508071A/ja
Application granted granted Critical
Publication of JP7219278B2 publication Critical patent/JP7219278B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、半導体集積回路の製造に関し、より詳しくはリソグラフィ・プロセスを用いた半導体集積回路フィーチャのパターニングに関する。
集積回路デバイスの寸法を縮小するため世界的に続いている努力の一部として、193ナノメートル(193nm)のような深紫外線(DUV:deep ultraviolet)照射波長に基づく様々なシングルパターンおよびマルチパターン・リソグラフィ・プロセスが幅広く用いられるようになったが、10nm未満のプロダクション・ノードにおけるフィーチャのパターニングにかかる配置をさらに拡張することは、問題を含み得る。
13.5nmのような波長を用いた極紫外線(EUV:extreme ultraviolet)リソグラフィ・プロセスの最近の開発は、10nm以下のプロダクション・ノードにおけるフィーチャの正確なパターニングを容易にすることが期待されるが、EUVプロセスの実用的な実装には著しい困難が残されている。例えば、EUV照射源の出力パワーが限られ、非常に長いウェーハ露光時間を必要とする。かかる欠点を軽減するためのメカニズムを提供することが大いに望ましいであろう。
本発明の例は、EUVリソグラフィ・プロセスにおいてパターニング材料積層膜とともに用いるための金属含有トップ・コートを提供する。かかる配置は、EUV照射源の所要ウェーハ露光時間もしくはパワー要求またはその両方を削減するためにパターニング材料積層膜のEUV感度を著しく向上させることができる。これは、延いては、ウェーハ・スループット・レートの実質的な増加および対応する集積回路製造コストの削減をもたらすことができる。
本発明の一実施形態において、リソグラフィ・パターニング方法は、半導体基板上に多層パターニング材料積層膜を形成するステップであって、積層膜は、1つ以上の追加の層の上に形成されたレジスト層を含む、ステップと、レジスト層の上に金属含有トップ・コートを形成するステップとを含む。方法は、さらに、レジスト層に所望のパターンを形成するためにトップ・コートを通して積層膜をパターニング照射へ露光するステップと、トップ・コートを除去するステップと、レジスト層に形成されたパターンを現像するステップと、現像されたパターンに従って少なくとも1つの下地層をエッチングするステップと、レジスト層の残った部分を除去するステップとを含む。トップ・コートは、例えば、レジスト層の上の原子層堆積もしくはスピンオン堆積によって、またはレジスト層からの自己分離(self-segregation)によって形成できる。
本発明の別の実施形態においては、半導体構造体が、半導体基板、および基板上に形成された多層パターニング材料積層膜を含み、積層膜は、ハード・マスク層および有機平坦化層のような、1つ以上の追加の層の上に形成されたレジスト層を含む。この構造体は、レジスト層の上に形成された金属含有トップ・コートをさらに含む。トップ・コートは、遷移金属およびポスト遷移金属のうちの少なくとも1つを、おそらくは1つ以上の金属酸化物の形態で、含んでよい。トップ・コートは、1つ以上の半金属を含んでもよい。トップ・コートは、レジスト層に形成されたパターンを現像する際に用いられる現像溶液または他の流体に可溶であるように構成されてよい。
本発明のさらなる実施形態では、金属含有材料が、半導体基板上に形成された多層パターニング材料積層膜のレジスト層の上に金属含有トップ・コートを形成するように構成される。パターニング材料積層膜は、レジスト層およびレジスト層がその上に形成された1つ以上の追加の層を含む。金属含有材料は、レジスト層の上の堆積およびレジスト層からの自己分離のうちの1つによってトップ・コートを形成するように構成される。金属含有材料は、遷移金属およびポスト遷移金属のうちの少なくとも1つを、おそらくは1つ以上の金属酸化物の形態で、含んでよい。金属含有材料は、1つ以上の半金属を含んでもよい。金属含有材料は、レジスト層を形成するために用いられるレジスト混合物中の含有のために構成された自己分離フッ素官能化金属(self-segregating fluorine functionalized metal)添加物を含む。
本発明のある実施形態における半導体基板上の有機平坦化層の形成後の半導体構造体の断面図を示す。 本発明のある実施形態における平坦化層の上のハード・マスク層の形成後の構造体の断面図を示す。 本発明のある実施形態におけるハード・マスク層の上のレジスト層の形成後の構造体の断面図を示す。 本発明のある実施形態におけるレジスト層の上の金属含有トップ・コートの形成後の構造体の断面図を示す。 本発明のある実施形態におけるトップ・コートを通したレジスト層のパターニングと関連してEUV照射への露光下の構造体の断面図を示す。 本発明のある実施形態におけるレジスト層中のパターンの現像後の構造体の断面図を示す。 本発明のある実施形態におけるレジスト層に形成されたパターンに従ってハード・マスク層をエッチングした後の構造体の断面図を示す。 本発明のある実施形態におけるレジスト層の残った部分の除去後のエッチングされたハード・マスク層を示す構造体の断面図を示す。
本発明の実施形態は、EUVリソグラフィ・プロセスならびに関連するパターニング材料積層膜および金属含有トップ・コートの文脈で本明細書に記載される。しかしながら、理解されるべきは、本発明の用途がこれらの配置には限定されず、本発明が多種多様な異なるリソグラフィ・プロセス、積層膜、トップ・コートならびに他のフィーチャおよび機能に広く適用可能なことである。例えば、本発明の他の実施形態は、いずれか個別のシングルパターンまたはマルチパターンEUVリソグラフィ・プロセスにおける使用には限定されず、シングルパターンおよびマルチパターンDUVリソグラフィ・プロセスの両方を含めて、多種多様な他のタイプのリソグラフィ・プロセスに適用できる。さらに、本発明の他の実施形態においては積層膜内に利用される層の個別の配置を変えることができる。そのうえ、本発明の他の実施形態においてはトップ・コートの個別の構成要素およびその形成の仕方を変えることができる。当業者には開示される配置におけるこれらおよび多くの他の変形形態が明らかであろう。
本発明の実施形態は、EUVリソグラフィ・プロセスにおけるパターニング材料積層膜のEUV感度を向上させるために多層パターニング材料積層体のレジスト層の上に金属含有トップ・コートを形成するステップを伴う。トップ・コートは、レジスト層の上の堆積によって、レジスト層からの自己分離によって、または堆積および自己分離技術の組み合わせを含めて、他の技術を用いて形成できる。積層膜におけるEUV感度を向上させることによって、本発明の実施形態は、EUV照射源の所要ウェーハ露光時間もしくはパワー要求またはその両方を削減できる。これは、延いては、ウェーハ・スループット・レートの実質的な増加および対応する集積回路製造コストの削減をもたらすことができる。
図1~8は、本発明のある実施形態におけるパターニング材料積層膜のレジスト層の上の金属含有トップ・コートの形成を伴うEUVリソグラフィ・プロセスの一部分を示す。EUVリソグラフィ・プロセスは、集積回路の製造と関連して半導体ウェーハに適用される。これらの図は、EUVリソグラフィ・プロセスの一部としてウェーハが逐次的な処理操作を受けるにつれて、ウェーハの一部分のそれぞれの断面図を示す。当然のことながら、これらの図に示される様々な要素および他のフィーチャは、説明を明確かつ簡潔にするために簡略化にされており、必ずしも縮尺通りには描写されていない。
同様に留意すべきは、1つの層または構造「上(on)」もしくは「の上(over)」の、別の層または構造の形成への本明細書における言及が、広く理解されることが意図され、1つ以上の介在層または構造の存在を除外すると解釈されるべきではないということである。
最初に図1を参照すると、半導体構造体100は、半導体基板102を有する半導体ウェーハの一部分を表す。基板102の少なくとも一部分がシリコン(Si)から形成されてよく、約500~1000マイクロメートル(μm)の厚さを有してよい。基板102は、説明をやはり明確かつ簡潔にするために、図では単一の層として示されるが、それ自体が複数の層を有してもよい。半導体構造体100は、有機平坦化層(OPL:organic planarizing layer)104をさらに含む。OPL104は、およそ60nmの厚さに形成されてよく、本明細書では説明的な例としてこのおよび他の寸法が提示されるが、限定的であると解釈されるべきではない。OPL104は、「多層パターニング材料積層膜」と本明細書では呼ばれるものの最下層であってよいが、本発明の他の実施形態においてはかかる積層体がOPL104を含む必要がない。
図2に示されるように、ハード・マスク層106がOPL104の上に形成されて、構造体200をもたらす。ハード・マスク層106は、シリコン・ベースの材料、例えば、酸化シリコン、窒化シリコンまたは酸窒化シリコンから形成されてよいが、多種多様な他の無機または有機材料を用いることができるであろう。ハード・マスク層106を形成するために用いられてよい無機材料の追加の例は、金属含有材料、例えば、酸化チタンまたは窒化チタンを含む。多くの他の金属酸化物、金属窒化物もしくは金属酸窒化物またはそれらの組み合わせ、ならびに他のタイプの金属含有材料を用いることができるであろう。ハード・マスク層106は、ここではOPL104に重なる、積層体の第2の層である。ハード・マスク層106は、約3nm~15nmの範囲内の厚さを有してよい。
図3を次に参照すると、レジスト層108がハード・マスク層106の上に形成されて、構造体300をもたらす。この構造体300は、OPL104、ハード・マスク層106およびレジスト層108を含む多層パターニング材料積層膜110を含む。レジスト層は、EUV照射源および対応するフォトマスクを用いたパターニングに適した感光材料を含む。レジスト層108は、有機EUVフォトレジスト、より詳しくは有機化学増幅型(organic chemically-amplified)フォトレジストを含んでよいが、他のタイプのレジスト材料を用いることもできる。レジスト層108は、約10nm~30nmの範囲内の厚さを有してよい。
本発明の他の実施形態においては、積層体110がレジスト層108の下に位置する追加または代わりの層を含むことができる。従って、図中に示される個別の積層体110は、説明的な例としてのみ提示される。与えられたかかる積層体は、一般に、1つ以上の追加の層の上に形成されたレジスト層を含むであろう。本例における1つ以上の追加の層は、OPL104およびハード・マスク層106を含む。
図4に示されるように、金属含有トップ・コート112が積層体110のレジスト層108の上に形成されて、構造体400をもたらす。レジスト層108の上のトップ・コートは、原子層堆積(ALD:atomic layer deposition)プロセスもしくはスピンオン堆積プロセスのような、堆積プロセスを用いて形成されてよい。トップ・コート112は、例示的に、高いアスペクト比に起因するパターン崩れのいずれかの危険を回避するために比較的薄い層として形成される。
例えば、ALDプロセスは、トップ・コートを約2nm~5nmの厚さに堆積するために用いられてよい。かかるALDプロセスは、低温堆積条件を利用してよい。これは、レジスト層108に加えられる塗布後ベーク(PAB:post-application bake)プロセスの温度未満の堆積温度の利用を伴ってよい。例えば、レジスト層108のためのPABプロセスの温度は、150℃であってよく、ここでは金属含有トップ・コート112を形成するためのALDプロセスに用いられる堆積温度が150℃より実質的に低いであろう。例えば、50℃での低温堆積が用いられてよい。これらおよび他の低温堆積プロセスが様々な異なるレジスト材料上のコーティングを許容し、レジスト層108の表面に調節可能なコーティング厚さを形成することを容易にする。
用語「金属含有トップ・コート」は、本明細書では、リソグラフィ・パターニング・プロセスの一部としてレジスト層の上に形成できる、様々なコーティングもしくは他のタイプの層または多層の配置を包含するように広く解釈されることが意図される。
先に示されたように、トップ・コート112を形成するためにスピンオン堆積プロセスが用いられてもよい。例えば、レジスト層108にPABプロセスが適用された後に、トップ・コート112を金属酸化物ナノ粒子のスピン・コートとして形成するためにスピンオン堆積プロセスを用いることができる。
トップ・コート112は、EUVリソグラフィにおいて底面反射防止コーティング(BARC:bottom anti-reflective coating)を形成する際に用いられるものと同様のプロセスを用いて形成されてよい。かかるBARCプロセスは、均一性の高い薄膜コーティングを形成するためによく用いられる。トップ・コート112を形成するために用いられてよい堆積プロセスの他の例は、化学気相堆積(CVD:chemical vapor deposition)および物理気相堆積(PVD:physical vapor deposition)を含む。
トップ・コート112を形成するために堆積以外の技術を用いることもできる。例えば、図4の構造体400におけるトップ・コート112をレジスト層108からの自己分離のプロセスを利用してレジスト層108の上に形成できる。このタイプの配置では、レジスト層108を形成するために用いられるレジスト混合物中に自己分離材料が提供される。レジスト層108を形成するためにレジスト混合物が用いられ、次に、トップ・コート112を形成すべく自己分離材料をレジスト層から自己分離させるためにレジスト層108が指定されたプロセスに供される。例えば、自己分離材料は、フッ素官能化金属添加物を含んでよい。トップ・コート112を形成すべく自己分離材料をレジスト層108から自己分離させる指定されたプロセスは、例示的に、PABプロセスを含む。
自己分離によってトップ・コート112を形成するためにレジスト混合物中に組み込まれる自己分離材料は、かかる自己分離材料を含んだレジスト混合物と同様に、本発明のある実施形態例と見做される。
自己分離によってトップ・コートを形成するために用いることができる自己分離材料の例は、レジスト混合物中に組み込むことができるフルオロアルコール官能性をもつ添加物材料、ならびに金属含有ペンダント基または金属骨格ベースのポリマを含む。
トップ・コート112は、遷移金属およびポスト遷移金属のうちの少なくとも1つを含んだ金属組成物を含んでよい。例えば、トップ・コートは、少なくとも1つの遷移金属、例えば、ハフニウム(Hf)、ジルコニウム(Zr)、タンタル(Ta)、タングステン(W)、クロム(Cr)、コバルト(Co)、鉄(Fe)およびプラチナ(Pt)のうちの少なくとも1つを含んでよい。加えてまたは代わりに、トップ・コート112は、少なくとも1つのポスト遷移金属、例えば、錫(Sn)を含んでよい。トップ・コート112は、少なくとも1つの半金属、例えば、アンチモン(Sb)も組み込んでもよい。
上述の金属および半金属は、本明細書においてより一般的に「高Z」原子と呼ばれるものの例であり、ここでZは、原子の原子番号を示し、他の実施形態では金属含有トップ・コート112中に変わりの高Z原子を用いることができる。
トップ・コート中に用いられる金属組成物は、トップ・コート112の約30%未満の濃度の金属酸化物の形態であってよい。例えば、酸化錫(SnOx)の50℃での低温堆積によってトップ・コート112を形成できる。この文脈および他の同様の文脈での濃度のパーセンテージ量は、モル・パーセント(mol%)を指す。
レジスト層108からの自己分離によってトップ・コート112を形成するために用いられてよい組成物の例は、ヘキサフルオロアセトン(HFA:hexafluoroacetone)、および錫(Sn)を含むモノマ単位または他のタイプの金属含有モノマ単位を含む。
より詳しい例として、金属含有トップ・コート112を形成する際に用いるのに適したHFAとのコポリマは、以下の化学式を有し、ここでRは、水素(H)またはメチルもしくはアルキル基を示す。
Figure 0007219278000001
上記の例では、Xが単位の数を示す。金属単位、HFA、およびおそらくは1つ以上の他の単位と結合した典型的なポリマについて、HFAのパーセンテージは、レジスト層108からのトップ・コート112の自己分離を支援するために約5%~15%であろう。パーセンテージ量は、やはりモル・パーセント(mol%)を指す。
別の例として、トップ・コート112を形成する際に用いるのに適した錫(Sn)を含むモノマ単位は、以下の化学式を有する。
Figure 0007219278000002
この例では、nが存在する単位の数を示し、Rがアルキル基を示す。
やはり、上記の材料配合は、例に過ぎず、トップ・コート112を形成する際に、HFA、他の金属含有モノマ単位との他のコポリマ、および他のタイプの組成物を含めて、異なる化学組成を有する多種多様な他の材料を用いることができる。
トップ・コート112は、レジスト層108中の2次電子の生成を増加させることによって積層体110のEUV感度を向上させるように構成されてよい。かかる例では、トップ・コート112は、露光中にレジスト層108がより多くのEUVフォトンを捕獲することを許容することによって、EUV照射を吸収するレジスト層108の能力を向上させる。
例えば、レジスト層108のレジスト材料は、トップ・コート112の成分の選択的浸透およびそれらの成分とのその後の反応を通じて感度を向上させることができる。より詳しい例として、上述の堆積されたSnOxトップ・コートのケースでは、SnOx粒子がレジスト層108中に浸透し、または別の状況ではその一部となることもある。
金属含有トップ・コートを備える本発明のこれらおよび他の実施形態は、パターニングされたフィーチャの解像度を犠牲にすることも、あるいはパターニング品質メトリック、例えば、ライン・エッジ・ラフネス(LER:line edge roughness)およびライン幅ラフネス(LWR:line width roughness)に影響を与えることもなく、EUV感度を著しく向上させることができる。
図5を次に参照すると、レジスト層108に所望のパターンを形成するためにトップ・コート112を通して積層体110がEUVパターニング照射に露光される。結果として生じた構造体500は、図中に参照符号108’で示されるパターニングされたレジスト層を含む。
トップ・コート112が次に除去され、パターニングされたレジスト層108’が現像されて、図6に示されるような構造体600をもたらす。例えば、トップ・コート112を剥離によって除去できて、その後、パターニングされたレジスト層108’が現像溶液を用いて現像される。パターニングされたレジスト層108’の現像は、レジスト層の複数の部分がパターンに従って除去された、現像されたレジスト層108”をもたらす。
代わりに、トップ・コート112は、レジスト層108’に形成されたパターンを現像する際に用いられる現像溶液に可溶な材料から作られてもよい。例えば、レジスト現像中にトップ・コート112が塩基もしくは水またはその両方に可溶であるように構成できる。このタイプの配置では、トップ・コート112は、レジスト層108’に形成されたパターンを現像するプロセスの一部として除去される。やはり、パターニングされたレジスト層108’の現像は、レジスト層の複数の部分がパターンに従って除去された、現像されたレジスト層108”をもたらす。
従って、露光後に、トップ・コート112を別のステップで剥離することができ、あるいは、本質的に可溶な官能性を有するように構成されていれば、レジスト現像プロセスの一部としてそれを除去できる。
図7に示されるように、構造体700を作り出すために、現像されたレジスト層108”の下に位置するハード・マスク層106が現像されたパターンに従ってエッチングされる。プロセスのこの部分は、示されるように、現像されたレジスト層108”からパターニングされたハード・マスク層106’へのパターンの転写をもたらす。このエッチングを反応性イオン・エッチング(RIE:reactive ion etching)または湿式エッチングのようなプロセスを用いて行うことができる。
現像されたレジスト層108”の残った部分が、次に、図8に示されるように、除去されて、構造体800をもたらす。構造体800は、次に、半導体ウェーハ上に所望の集積回路構造を形成するために当業者によく知られたタイプの追加の処理操作を受ける。例えば、追加のパターン・フィーチャに従ってハード・マスク層をさらに構成するために、図1~8と関連して先に記載されたようなプロセスの部分を、異なるパターン・マスクを用いて、繰り返すことができる。
本発明の他の実施形態においては、他のタイプの多層パターニング材料積層膜が用いられてよい。例えば、本発明のいくつかの実施形態では、ハード・マスク層が省略されて、基板102の1つ以上の層が現像されたレジスト層108”のパターンに従ってエッチングされる。当業者には理解されるように、レジスト層の上に形成された金属含有トップ・コートを用いたこれらおよび多くの他のリソグラフィ・パターニング配置を、本明細書に開示される技術を用いて、実装することができる。
本発明の実施形態は、半導体基板および基板上に形成された多層パターニング材料積層膜を含む半導体構造体を含むことができ、この積層体は、ハード・マスク層および有機平坦化層のような、1つ以上の追加の層の上に形成されたレジスト層を含む。この構造体は、レジスト層の上に形成された金属含有トップ・コートをさらに含む。トップ・コートは、遷移金属およびポスト遷移金属のうちの少なくとも1つを、おそらくは1つ以上の金属酸化物の形態で、含んでよい。トップ・コートは、1つ以上の半金属を含んでもよい。トップ・コートは、レジスト層に形成されたパターンを現像する際に用いられる現像溶液に可溶であるように構成されてよい。
本発明の他の実施形態は、半導体基板上に形成された多層パターニング材料積層膜のレジスト層の上に金属含有トップ・コートを形成するように構成された金属含有材料を含むことができる。この積層体は、レジスト層およびレジスト層がその上に形成された1つ以上の追加の層を含む。金属含有材料は、レジスト層の上の堆積およびレジスト層からの自己分離のうちの1つによってトップ・コートを形成するように構成される。金属含有材料は、遷移金属およびポスト遷移金属のうちの少なくとも1つを、おそらくは1つ以上の金属酸化物の形態で、含んでよい。金属含有材料は、1つ以上の半金属を含んでもよい。金属含有材料は、レジスト層を形成するために用いられるレジスト混合物中の含有のために構成された自己分離フッ素官能化金属添加物を含んでよい。
本発明のさらなる実施形態は、ハード・マスク層および有機平坦化層のような、1つ以上の追加の層の上に形成されたレジスト層を含む多層パターニング材料積層膜を含むことができる。金属含有トップ・コートは、レジスト層の上に形成されて、積層体の一部と見做されてよい。この積層体は、半導体基板上に、または他のタイプの基板、層もしくは材料上に形成されてよい。
本発明の追加の実施形態は、半導体集積回路の製造と関連して先に記載されたものなど、リソグラフィ・プロセスを行うように構成されたリソグラフィ・ツールおよび他のタイプのリソグラフィ・パターニング装置を含む。例えば、金属含有トップ・コートを本明細書に開示される技術を用いて形成するステップを含む、上記のタイプのEUVリソグラフィ・プロセスを行うために、13.5nmの波長で動作するASML NXE:3300BまたはNXE:3350BのようなEUVリソグラフィ・ツールを改造することができる。やはり、DUV波長または他の波長におけるパターニング照射に基づく、他のタイプのリソグラフィ・プロセスおよび関連するリソグラフィ・ツールも用いることができ、それゆえに、本明細書に開示される技術は、EUVリソグラフィ・プロセスまたはEUVリソグラフィ・ツールによる使用には限定されない。
本明細書に開示されるようなEUVリソグラフィ・プロセスを用いて形成できる集積回路構造の例は、ナノシート金属酸化物半導体電界効果トランジスタ(MOSFET:metal-oxide-semiconductor field-effect transistor)デバイスを含む。本明細書に開示されるような金属含有トップ・コートの使用は、積層体のEUV感度を向上させることによってかかるデバイスの製造を容易することができ、それによって、10nm以下のフィーチャの形成を容易にする。同様の改善は、フィーチャ・サイズの代わりの範囲、例えば、50nm以下のフィーチャにも提供される。図1~8に示されるステップの少なくとも一部分の1つ以上の繰り返しを含むEUVリソグラフィ・プロセスを用いて多種多様な他のタイプの集積回路デバイスを製作できる。
先の記載においては、異なる要素に対して様々な材料および寸法が提供される。別に注記されない限り、かかる材料は、例としてのみ示され、実施形態は、示される特定の例のみには限定されない。同様に、別に注記されない限り、すべての寸法は、例としてのみ示され、本発明の実施形態は、示される特定の寸法または範囲のみには限定されない。
上記の様々な層、構造もしくは領域またはそれらの組み合わせは、必ずしも縮尺通りに描写されていないことが理解されるべきである。加えて、説明を容易にするために、半導体デバイスまたは構造体を形成するために共通に用いられるタイプの1つ以上の層、構造、および領域は、示される図面に明示的に示されないことがある。これは、明示的に示されないいずれかの層、構造、および領域が実際の半導体構造体から省略されることを示唆するものではない。
さらに、本明細書において考察される本発明の実施形態は、本明細書に示され、記載される個別の処理ステップには限定されないことが理解されるべきである。特に、半導体処理ステップに関して、本明細書に提供される記載は、機能的な半導体集積回路デバイスを形成するために用いられてよいすべての処理ステップを包含することを意図しないことが強調されるべきである。むしろ、例えば、ウェット・クリーニングおよびアニーリング・ステップのような、半導体デバイスを形成する際に共通して用いられる一定のプロセス・ステップは、本明細書では記載を簡潔にするために意図的に記載されない。
厚さ、幅、パーセンテージ、範囲などに関して本明細書に用いられる「約(about)」または「実質的に(substantially)」のような用語は、近いかまたは近似することを示すが、正確にではないことが意味される。例えば、本明細書に用いられる用語「約」または「実質的に」は、例としてのみ、述べられる量より1%以下など、小さい誤差の範囲が存在してよいことを示唆する。さらに、図において、1つの層、構造もしくは領域またはそれらの組み合わせについて、別の層、構造もしくは領域またはそれらの組み合わせと比較して、示されるスケールが実際のスケールを表すことは必ずしも意図されない。
上記の技術による半導体デバイスおよびそれらを形成するための方法を、以下には限定されないが、パーソナル・コンピュータ、通信ネットワーク、電子商取引システム、ポータブル通信デバイス(例えば、セルおよびスマート・フォン)、固体メディア・ストレージ・デバイス、機能回路素子などを含めて、様々なアプリケーション、ハードウェアもしくは電子システムまたはそれらの組み合わせに採用することができる。本明細書に提供される教示が与えられると、当業者は、本発明の実施形態の他の実装および用途を企図することが可能であろう。
本発明のいくつかの実施形態において、上記の技術は、非限定の例として、CMOSデバイス、MOSFETデバイス、および/またはFinFETデバイス、および/または、CMOS、MOSFET、および/またはFinFET技術を組み込み、または別の状況ではそれらを利用する他のタイプの半導体集積回路デバイスを例示的に備える、半導体集積回路デバイスの製造と関連して用いられる。
従って、本明細書に記載される1つ以上の半導体構造体の少なくとも一部分が集積回路に実装されてよい。結果として生じた集積回路チップを製作者によって生ウェーハの形態で(すなわち、複数のパッケージされていないチップを有する単一のウェーハとして)、ベア・ダイとして、またはパッケージされた形態で販売することができる。後者のケースでは、チップは、シングル・チップ・パッケージ(例えば、マザーボードもしくは他のより高いレベルのキャリヤに付けられたリード線をもつ、プラスチック・キャリヤ)、あるいはマルチチップ・パッケージ(例えば、いずれかもしくは両方の表面の相互接続または埋め込み相互接続を有するセラミック・キャリヤ)に搭載される。いずれのケースでも、チップは、(a)マザーボードのような中間製品、または(b)最終製品のいずれかの一部として、次に、他のチップ、ディスクリート回路要素もしくは他の信号処理デバイスまたはそれらの組み合わせと一体化される。最終製品は、玩具およびローエンド用途から、表示部、キーボードまたは他の入力デバイス、およびセントラル・プロセッサを有する先端コンピュータ製品におよぶ、集積回路チップを含むいずれかの製品とすることができる。
本発明の様々な実施形態の記載が説明のために提示されたが、これらの記載は、網羅的であることも、または開示される実施形態に限定されることも意図されない。本発明の範囲から逸脱することなく、当業者には多くの修正および変更が明らかであろう。本明細書に用いられた用語法は、本発明の原理、実用用途または市場に見られる技術を超える技術的改良を最もよく説明するために、あるいは本明細書に開示される実施形態を他の当業者が理解できるようにするために選ばれた。

Claims (10)

  1. リソグラフィ・パターニング方法であって、
    半導体基板上に多層パターニング材料積層膜を形成するステップであって、前記多層パターニング材料積層膜は、1つ以上の追加の層および前記1つ以上の追加の層の上に形成されたレジスト層を含む、前記形成するステップと、
    前記レジスト層の上に金属含有トップ・コートを形成するステップと、
    前記レジスト層に所望のパターンを形成するために前記金属含有トップ・コートを通して前記多層パターニング材料積層膜をパターニング照射に露光するステップと、
    前記金属含有トップ・コートを除去するステップと、
    前記レジスト層に形成された前記パターンを現像するステップと、
    前記現像されたパターンに従って少なくとも1つの下地層をエッチングするステップと、
    前記レジスト層の残った部分を除去するステップと
    を含み、
    前記レジスト層の上に前記金属含有トップ・コートを形成するステップは、前記レジスト層からの自己分離のプロセスを利用して前記金属含有トップ・コートを形成するステップを含む、方法。
  2. 前記パターニング材料積層膜の前記1つ以上の追加の層は、
    ハード・マスク層、および
    有機平坦化層
    のうちの少なくとも1つを含む、請求項1に記載の方法。
  3. 前記現像されたパターンに従って少なくとも1つの下地層をエッチングするステップは、前記ハード・マスク層をエッチングするステップを含む、請求項2に記載の方法。
  4. 前記レジスト層からの自己分離のプロセスを利用して前記金属含有トップ・コートを形成するステップは、
    前記レジスト層を形成するために用いられるレジスト混合物中に自己分離材料を提供するステップと、
    前記レジスト層を形成するために前記レジスト混合物を利用するステップと、
    前記金属含有トップ・コートを形成すべく前記自己分離材料を前記レジスト層から自己分離させるために前記レジスト層を指定されたプロセスに供するステップと
    を含む、請求項1に記載の方法。
  5. 前記金属含有トップ・コートを形成するために前記自己分離材料を前記レジスト層から自己分離させる前記指定されたプロセスは、塗布後ベーク・プロセスを含む、請求項4に記載の方法。
  6. 前記金属含有トップ・コートは、少なくとも1つの遷移金属を含み、前記少なくとも1つの遷移金属は、ハフニウム(Hf)、ジルコニウム(Zr)、タンタル(Ta)、タングステン(W)、クロム(Cr)、コバルト(Co)、鉄(Fe)およびプラチナ(Pt)のうちの少なくとも1つを含む、請求項1に記載の方法。
  7. 前記金属含有トップ・コートは、少なくとも1つのポスト遷移金属を含み、前記少なくとも1つのポスト遷移金属は、錫(Sn)を含む、請求項1に記載の方法。
  8. 前記金属含有トップ・コートは、少なくとも1つの半金属をさらに含み、前記少なくとも1つの半金属は、アンチモン(Sb)を含む、請求項1に記載の方法。
  9. 前記金属含有トップ・コートは、前記レジスト層に形成された前記パターンを現像する際に用いられる現像溶液に可溶である、請求項1に記載の方法。
  10. 前記金属含有トップ・コートを除去するステップは、前記レジスト層に形成された前記パターンを現像するステップの一部として前記金属含有トップ・コートを除去するステップを含む、請求項1に記載の方法。
JP2020531777A 2017-12-19 2018-12-04 極紫外線(euv)リソグラフィにおいて感度を向上させるための金属含有トップ・コートを用いるパターニング材料積層膜 Active JP7219278B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/846,942 US10347486B1 (en) 2017-12-19 2017-12-19 Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US15/846,942 2017-12-19
PCT/IB2018/059600 WO2019123067A1 (en) 2017-12-19 2018-12-04 Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (euv) lithography

Publications (2)

Publication Number Publication Date
JP2021508071A JP2021508071A (ja) 2021-02-25
JP7219278B2 true JP7219278B2 (ja) 2023-02-07

Family

ID=66814666

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020531777A Active JP7219278B2 (ja) 2017-12-19 2018-12-04 極紫外線(euv)リソグラフィにおいて感度を向上させるための金属含有トップ・コートを用いるパターニング材料積層膜

Country Status (6)

Country Link
US (3) US10347486B1 (ja)
JP (1) JP7219278B2 (ja)
CN (1) CN111512417B (ja)
DE (1) DE112018005569B4 (ja)
GB (1) GB2583206B (ja)
WO (1) WO2019123067A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11327398B2 (en) * 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US20230152701A1 (en) * 2020-03-30 2023-05-18 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
US11942322B2 (en) 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
CN113376960A (zh) * 2020-05-22 2021-09-10 台湾积体电路制造股份有限公司 制造半导体器件的方法和图案形成方法
US20220334482A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist top coating material for etching rate control
WO2023243585A1 (ja) * 2022-06-14 2023-12-21 セントラル硝子株式会社 レジスト上層膜形成用樹脂組成物、パターン形成方法および電子デバイス製造方法
WO2023243586A1 (ja) * 2022-06-14 2023-12-21 セントラル硝子株式会社 電子デバイス製造方法および積層体
WO2023243579A1 (ja) * 2022-06-14 2023-12-21 セントラル硝子株式会社 電子デバイス製造方法および積層体

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015046327A1 (ja) 2013-09-26 2015-04-02 独立行政法人物質・材料研究機構 高感度積層レジスト膜及びレジスト膜の感光度向上方法
WO2016102157A1 (en) 2014-12-23 2016-06-30 Asml Netherlands B.V. Lithographic patterning process and resists to use therein
JP2017036441A (ja) 2015-08-07 2017-02-16 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ブロックコポリマー及び関連フォトレジスト組成物ならびに電子デバイスの形成方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03261953A (ja) * 1990-03-13 1991-11-21 Fujitsu Ltd 微細パターンの形成方法
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
SG52770A1 (en) 1992-07-10 1998-09-28 Hoechst Celanese Corp Metal ion reduction in top anti-reflective coatings for photoresists
JP3258210B2 (ja) * 1995-08-31 2002-02-18 株式会社東芝 埋込素子分離基板およびその製造方法
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US6969569B2 (en) * 1999-04-16 2005-11-29 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image
US20040148888A1 (en) 2003-02-03 2004-08-05 Kuhn William P. Double barbed plate with fastener
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
JP4483231B2 (ja) * 2003-08-27 2010-06-16 ソニー株式会社 磁気メモリ装置の製造方法
US7473512B2 (en) 2004-03-09 2009-01-06 Az Electronic Materials Usa Corp. Process of imaging a deep ultraviolet photoresist with a top coating and materials thereof
US7241707B2 (en) * 2005-02-17 2007-07-10 Intel Corporation Layered films formed by controlled phase segregation
US20070231751A1 (en) 2006-03-31 2007-10-04 Bristol Robert L Photoresist top coat out-of-band illumination filter for photolithography
CN101303459B (zh) * 2007-05-10 2010-04-07 中国科学院半导体研究所 行波电极电吸收调制器和模斑转换器集成器件的制作方法
US8083958B2 (en) * 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US7960096B2 (en) * 2008-02-11 2011-06-14 International Business Machines Corporation Sublithographic patterning method incorporating a self-aligned single mask process
US7906031B2 (en) * 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
US9316916B2 (en) * 2009-04-07 2016-04-19 Globalfounries Inc. Method to mitigate resist pattern critical dimension variation in a double-exposure process
US8821978B2 (en) * 2009-12-18 2014-09-02 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
EP2783389B1 (en) 2011-11-21 2021-03-10 Brewer Science, Inc. Structure comprising assist layers for euv lithography and method for forming it
US9382444B2 (en) * 2013-06-24 2016-07-05 Dow Global Technologies Llc Neutral layer polymers, methods of manufacture thereof and articles comprising the same
US9791779B2 (en) * 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US9982097B2 (en) * 2016-02-11 2018-05-29 International Business Machines Corporation Thin film self assembly of topcoat-free silicon-containing diblock copolymers
TWI774375B (zh) * 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
US9991155B2 (en) * 2016-09-30 2018-06-05 GlobalFoundries, Inc. Local trap-rich isolation
US9711447B1 (en) * 2016-10-11 2017-07-18 Globalfoundries Inc. Self-aligned lithographic patterning with variable spacings
US10418324B2 (en) * 2016-10-27 2019-09-17 Asml Netherlands B.V. Fabricating unique chips using a charged particle multi-beamlet lithography system
US10347486B1 (en) * 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015046327A1 (ja) 2013-09-26 2015-04-02 独立行政法人物質・材料研究機構 高感度積層レジスト膜及びレジスト膜の感光度向上方法
WO2016102157A1 (en) 2014-12-23 2016-06-30 Asml Netherlands B.V. Lithographic patterning process and resists to use therein
JP2017036441A (ja) 2015-08-07 2017-02-16 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC ブロックコポリマー及び関連フォトレジスト組成物ならびに電子デバイスの形成方法

Also Published As

Publication number Publication date
WO2019123067A1 (en) 2019-06-27
GB2583206A (en) 2020-10-21
DE112018005569T5 (de) 2020-08-06
US20190189428A1 (en) 2019-06-20
US10347486B1 (en) 2019-07-09
DE112018005569B4 (de) 2021-06-10
GB2583206B (en) 2022-09-07
JP2021508071A (ja) 2021-02-25
US20190259601A1 (en) 2019-08-22
GB202007913D0 (en) 2020-07-08
US11177130B2 (en) 2021-11-16
US11037786B2 (en) 2021-06-15
CN111512417A (zh) 2020-08-07
CN111512417B (zh) 2024-03-12
US20190267234A1 (en) 2019-08-29

Similar Documents

Publication Publication Date Title
JP7219278B2 (ja) 極紫外線(euv)リソグラフィにおいて感度を向上させるための金属含有トップ・コートを用いるパターニング材料積層膜
TWI690995B (zh) 半導體裝置的製造方法
US9934971B2 (en) Method of forming an integrated circuit using a patterned mask layer
CN107112212B (zh) 使用接枝聚合物材料图案化基底
US9418862B2 (en) Method for integrated circuit patterning
US10395925B2 (en) Patterning material film stack comprising hard mask layer having high metal content interface to resist layer
TWI452419B (zh) 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
TWI709165B (zh) 微影圖案化的方法
TW201719755A (zh) 特徵尺寸縮減技術(二)
US9293341B2 (en) Mechanisms for forming patterns using multiple lithography processes
US9229326B2 (en) Method for integrated circuit patterning
JP5816488B2 (ja) 半導体装置の製造方法
US20120266810A1 (en) Planarization system for high wafer topography
US9640410B2 (en) Pattern formation method
US20120270398A1 (en) Planarization method for high wafer topography
US11500293B2 (en) Patterning material film stack with hard mask layer configured to support selective deposition on patterned resist layer
TWI665177B (zh) 用於製造積體電路裝置、光學裝置、微機械及機械精密裝置之組成物
JP2007057709A (ja) レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
CN112670168B (zh) 半导体结构的形成方法、晶体管
US20230154750A1 (en) Photoresist and Method
KR100598169B1 (ko) 반도체 소자의 콘택 형성 방법
JP2004078119A (ja) 半導体装置の製造方法
Washburn et al. Use of DBARCs Beyond Implant

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210525

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220311

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220322

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220617

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221208

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20221208

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20221222

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20221227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230117

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230126

R150 Certificate of patent or registration of utility model

Ref document number: 7219278

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150