TWI654760B - 具有組合遮罩之高深寬比蝕刻 - Google Patents

具有組合遮罩之高深寬比蝕刻

Info

Publication number
TWI654760B
TWI654760B TW103133356A TW103133356A TWI654760B TW I654760 B TWI654760 B TW I654760B TW 103133356 A TW103133356 A TW 103133356A TW 103133356 A TW103133356 A TW 103133356A TW I654760 B TWI654760 B TW I654760B
Authority
TW
Taiwan
Prior art keywords
hard mask
mask layer
etching
stack
etching gas
Prior art date
Application number
TW103133356A
Other languages
English (en)
Other versions
TW201526242A (zh
Inventor
喬伊迪普 古哈
瑟利西K 瑞迪
凱旭克 查托帕迪亞
湯瑪士W 茂希爾
亞倫 艾普勒
托爾斯滕 立爾
瓦西德 瓦海地
哈密特 席恩
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201526242A publication Critical patent/TW201526242A/zh
Application granted granted Critical
Publication of TWI654760B publication Critical patent/TWI654760B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明提供一種在堆疊中蝕刻特徵部的方法。藉由下列步驟形成組合硬遮罩:在堆疊上形成包含碳或矽氧化物之第一硬遮罩層、在第一硬遮罩層上形成包含金屬之第二硬遮罩層、以及使第一及第二硬遮罩層圖案化。藉由組合硬遮罩蝕刻堆疊。

Description

具有組合遮罩之高深寬比蝕刻
本發明涉及半導體裝置的形成。尤其,本發明涉及半導體裝置之高深寬比特徵部的蝕刻。
於半導體晶圓處理期間,在3D快閃記憶體裝置之中,多數單元(cell)係以串鏈方式彼此堆疊,以節省空間並提高堆積密度。
為了達到前述內容並符合本發明之目的,因此提供一種在堆疊中蝕刻特徵部的方法。藉由下列步驟形成組合硬遮罩:在堆疊上形成包含碳或矽氧化物之第一硬遮罩層、在第一硬遮罩層上形成包含金屬之第二硬遮罩層、以及使第一及第二硬遮罩層圖案化。藉由組合硬遮罩蝕刻堆疊。
在本發明之另一表現形式中,提供了一種在堆疊中蝕刻特徵部的方法。藉由下列步驟形成組合硬遮罩:在堆疊上形成包含碳或矽氧化物之第一硬遮罩層、和在第一硬遮罩層上形成包含金屬之第二硬遮罩層、以及在第二硬遮罩層上形成圖案化遮罩。使第一及第二硬遮罩層圖案化。藉由組合硬遮罩蝕刻堆疊。第二硬遮罩層係藉由圖案化遮罩及下列步驟進行蝕刻:使包含鹵素成份之第二硬遮罩層蝕刻氣體流入、使第二硬遮罩層蝕刻氣體形成為電漿、以及停止第二硬遮罩層蝕刻氣體之流量。第一硬遮罩層係藉由下列步驟進行蝕刻:使包含氧及COS或SO2 其中至少一者之第一硬遮罩層蝕刻氣體流入、使第一硬遮罩層蝕刻氣體形成為電漿、以及停止第一硬遮罩層蝕刻氣體之流量。藉由組合硬遮罩蝕刻堆疊。
本發明的這些及其他特徵將在以下實施方式結合附圖加以詳細說明。
現將參考如附圖所示之本發明的一些較佳實施例來詳細地描述本發明。在以下敘述中,為了提供對本發明的徹底瞭解而提出許多具體細節。然而,對於本領域中具有通常技術者將顯而易見,本發明可在不具有這些具體細節之部份或全部的情形下加以實施。在其他情況下,為了不非必要地混淆本發明,故不詳細地描述熟知的製程步驟及/或結構。
為幫助瞭解,圖1係可用於本發明之實施例中之一製程的高階流程圖。提供一堆疊(步驟104)。在堆疊上形成含碳或矽氧化物硬遮罩(步驟108)。在含碳或矽氧化物硬遮罩上形成含金屬硬遮罩(步驟112)。使含碳或矽氧化物硬遮罩及含金屬硬遮罩圖案化(步驟116)。藉由圖案化硬遮罩來蝕刻堆疊(步驟120)。圖案化硬遮罩可包括BARC/DARC/碳或SiO2 的組合。 範例
提供一堆疊(步驟104)。在本發明之一範例實施方式中,對3D記憶體陣列進行蝕刻。在這類記憶體陣列中,記憶體堆疊係形成在晶圓上。圖2A係形成在晶圓208上之堆疊204之複數層的橫剖面圖。在堆疊204與晶圓208之間可設置一或更多層。在此實施例中,堆疊204係複數記憶體堆疊,其係由矽氧化物層(SiO2 )216位在多晶矽層212上方之雙層(bilayer)所形成。
在堆疊204上形成碳或矽氧化物硬遮罩(步驟108)。圖2B係在堆疊204上形成含碳或矽氧化物硬遮罩220後之堆疊204的橫剖面圖。在此實施例中,含碳或矽氧化物硬遮罩220係非晶碳。
在含碳或矽氧化物硬遮罩上形成含金屬硬遮罩(步驟112)。圖2C係在含碳或矽氧化物硬遮罩220上形成含金屬硬遮罩224後之堆疊204的橫剖面圖。在此範例中,含金屬硬遮罩224係鈦氮化物(TiN)。
使硬遮罩圖案化(步驟116)。圖3係用於本發明此實施例中之用以使硬遮罩圖案化之製程的流程圖。在含金屬硬遮罩上形成圖案化遮罩(步驟304)。圖2D係在含金屬硬遮罩224上形成圖案化遮罩228後之堆疊204的橫剖面圖。在此範例中,圖案化遮罩228係由矽氮化物(SiN)所形成。
可將堆疊204設置在處理工具中,以執行後續步驟。圖4係電漿處理系統400的示意圖,其包括電漿處理工具401。電漿處理工具401係感應耦合電漿蝕刻工具,並且包括其中具有電漿處理腔室404之電漿反應器402。變壓器耦合電力(TCP,transformer coupled power)控制器450和偏壓電力控制器455分別控制TCP電源451和偏壓電源456,從而影響產生在電漿處理腔室404內之電漿424。
TCP控制器450為TCP電源451設定一設定點,TCP電源451係配置以供應13.56 MHz的射頻信號(藉由TCP匹配網路452進行調諧)至TCP線圈453(其設置在電漿處理腔室404附近)。設置一RF穿透窗454以隔開TCP線圈453與電漿處理腔室404,同時允許能量自TCP線圈453傳遞至電漿處理腔室404。
偏壓電力控制器455為偏壓電源456設定一設定點,偏壓電源456係配置以供應RF信號(藉由偏壓匹配網路457進行調諧)至夾盤電極408(其設置在電漿處理腔室404內),從而在電極408上產生直流電流(DC,direct current)偏壓,電極408係用以接收待處理之晶圓208。
氣體供應機構(或氣體源)410包括經由氣體歧管417而接附之氣體來源(或複數氣體來源)416,以供應製程所需之合適化學品至電漿處理腔室404內部。排氣機構418包括壓力控制閥419及抽氣泵420,並且將微粒自電漿處理腔室404內移除、以及維持電漿處理腔室404內的特定壓力。
溫度控制器480藉由控制冷卻電源484來控制設置在夾盤電極408內之冷卻再循環系統的溫度。電漿處理系統亦包括電子控制電路470。電漿處理系統400亦可具有終點偵測器。這類感應耦合系統的例子為美國加州弗里蒙特蘭姆研究公司(Lam Research Corporation)所製造之Kiyo(除了介電及有機材料以外,其尚用以蝕刻矽、多晶矽、及導電層)。在本發明的其他實施例中,可使用電容耦合系統。
圖5係顯示電腦系統500之高階方塊圖,其適合實施本發明之實施例中所使用的控制器。此電腦系統可具有範圍從積體電路、印刷電路板、及小型手持裝置以至於大型超級電腦的許多實體形式。電腦系統500包括一或更多處理器502,且更可包括電子顯示裝置504(用以顯示圖表、文字、和其他資料)、主記憶體506(例如隨機存取記憶體(RAM,random access memory))、儲存裝置508(例如硬式磁碟機)、可卸除式儲存裝置510(例如光碟機)、使用者介面裝置512(例如鍵盤、觸控螢幕、按鍵、滑鼠、或其他指標裝置等等)、以及通信介面514(例如無線網路介面)。通信介面514允許軟體及資料經由連結而在電腦系統500與外部裝置之間傳輸。此系統也可包含上述裝置/模組所連接之通信基礎設施(communications infrastructure)516(例如通信匯流排、交越帶(cross-over bar)、或網路)。
經由通信介面514所傳輸之資訊可例如為下列信號形式:電子、電磁、光、或其他能經由通信連結(其可傳送信號且可使用電線或電纜、光纖、電話線、行動電話連結、射頻連結、及/或其他通信通道來實現)而被通信介面514所接收之信號。有了此類通信介面,預期一或更多之處理器502在執行上述方法步驟的過程中,可從網路接收資訊或可輸出資訊到網路。此外,本發明之方法實施例可僅於這些處理器上執行、或可在網路(如網際網路)上會同遠端處理器(其分擔一部分的處理)來執行。
用語「非暫時性電腦可讀媒體(non-transient computer readable medium)」一般用於指示如主記憶體、輔助記憶體、可卸除式儲存器、以及儲存裝置(例如:硬式磁碟機、快閃記憶體、磁碟機記憶體、CD-ROM、以及其他形式之永久記憶體)之媒體,而且不應理解為涵蓋暫時性標的(例如:載波或信號)。電腦碼的例子包括:例如由編譯器產生之機器碼、以及由電腦利用直譯器所執行之含有較高階編碼的檔案。電腦可讀媒體亦可為藉由包含在載波中之電腦資料信號來傳送並代表由處理器所執行之指令序列的電腦碼。
在電漿處理系統400內,蝕刻含金屬硬遮罩224(步驟308)。圖6係用以蝕刻含金屬硬遮罩224之製程的更詳細流程圖。使金屬硬遮罩蝕刻氣體流入電漿處理腔室404(步驟604)。在此範例中,金屬硬遮罩蝕刻氣體係F與Cl之組合,包含鹵素氣體混合O2 、Ar、N2 、及He。鹵素氣體可為SF6 、NF3 、Cl2 、CH2 F2 、或C4 F6 。使金屬硬遮罩蝕刻氣體形成為電漿(步驟608)。在此範例中,提供範圍在5-50 mT之壓力。提供500–2000 W之來源電力,以使蝕刻氣體形成為電漿。提供100–1000 V之偏壓。停止金屬硬遮罩蝕刻氣體的流量(步驟612)。圖2E係已將特徵部232蝕刻至含金屬硬遮罩224中之後的堆疊204之橫剖面圖。
蝕刻含碳或矽氧化物硬遮罩220(步驟312)。圖7係用以蝕刻含碳或矽氧化物硬遮罩220之製程的更詳細流程圖。使含碳或矽氧化物硬遮罩蝕刻氣體流入電漿處理腔室404(步驟704)。在此範例中,含碳或矽氧化物硬遮罩蝕刻氣體係CF4 、CH2 F2 、C4 F6 、NF3 、SF6 、Ar、He、及O2 。使含碳或矽氧化物硬遮罩蝕刻氣體形成為電漿(步驟708)。在此範例中,提供範圍在5-50 mT之壓力。提供500-2000 W之來源電力。提供100–1000 V之偏壓。停止含碳或矽氧化物硬遮罩蝕刻氣體的流量(步驟712)。圖2F係已將特徵部232蝕刻至含碳或矽氧化物硬遮罩220中之後的堆疊204之橫剖面圖。
堆疊204係藉由圖案化硬遮罩而進行蝕刻(步驟120)。用以蝕刻堆疊204之一範例配方為:若堆疊為OPOP,則提供包含Cx Hy Fz 、HBr、He、及Ar的蝕刻氣體,其中x、y、及z皆為整數。若堆疊為ONON,則蝕刻氣體包含Cx Hy Fz 、Ar、及He。圖2G係已將特徵部232蝕刻至堆疊204中之後的堆疊204之橫剖面圖。在此實施例中,於蝕刻堆疊204期間(步驟120),含金屬硬遮罩224會耗盡且含碳或矽氧化物硬遮罩220作為剩餘蝕刻堆疊204期間的遮罩。
其他製程係用以進一步形成裝置。這類製程可包括:將再沉積之金屬殘留物清除的濕蝕刻。此外,若含碳或矽氧化物硬遮罩220為碳,則可利用灰化步驟將含碳或矽氧化物硬遮罩220移除。如此之灰化製程會比需要移除含金屬硬遮罩224的製程較不對堆疊204造成損害(若在蝕刻期間未將含金屬硬遮罩224移除)。
本發明之此實施例使整體遮罩的垂直及徑向選擇性都增大了。選擇性可增大3至4倍。徑向選擇性係遮罩刻面(faceting)的函數。隨著遮罩刻面增大時,蝕刻特徵部的寬度或徑向尺寸增大。因此,為了使徑向選擇性增大,應減小遮罩刻面。在此實施例中,含金屬硬遮罩224提供了有關蝕刻堆疊204之改善選擇性。含碳或矽氧化物硬遮罩220藉由在蝕刻堆疊204期間吸收含金屬硬遮罩224的噴濺再沉積物而作為蝕刻堆疊204期間的主要緩衝。噴濺主要來自含金屬硬遮罩224的水平表面。因為含碳或矽氧化物硬遮罩220吸收了來自含金屬硬遮罩224的再沉積之噴濺金屬,所以蝕刻含碳或矽氧化物硬遮罩220的阻力增加(因為含碳或矽氧化物硬遮罩220的側壁填有金屬),而這進一步使選擇性改善。再者,由於含碳或矽氧化物硬遮罩220吸收了再沉積之噴濺金屬,因此含碳或矽氧化物硬遮罩220降低或排除了噴濺金屬到達堆疊204的側壁,這也降低或排除噴濺金屬對堆疊204的污染。
在其他實施例中,堆疊204可為複數之單一材料(如矽氧化物)的交替層、矽氧化物及矽氮化物(ONON,silicon oxide and silicon nitride)的交替堆疊、或矽氧化物及多晶矽(OPOP,silicon oxide and polysilicon)的交替堆疊。堆疊204可使用在不同用途,例如:3D記憶體、3D NAND、或DRAM電容。含碳或矽氧化物硬遮罩220可含有金屬摻質。在本發明之部份實施例中,在產生高深寬比特徵部時,堆疊204可具有超過70交替層。更佳地,堆疊204具有超過100交替層。在其他實施例中,含金屬硬遮罩係由TiOx、W(如:WOx、WN、或WC)、或Ta(如:TaN或TaOx)所製成。
對於蝕刻含金屬硬遮罩224而言,通常使用氟或鹵素基蝕刻氣體以提供化學蝕刻。如此之蝕刻可使蝕刻階段與鈍化階段交替。對於蝕刻碳硬遮罩220而言,則使用氧基化學蝕刻。除了氧以外,還添加COS或SO2 至蝕刻氣體作為鈍化劑(passivant)。堆疊204之蝕刻亦可為化學蝕刻,例如:具有高能量(或偏壓)電漿之鹵素蝕刻以蝕刻高深寬比的特徵部。較佳地,這類蝕刻不是轟擊類型蝕刻。含金屬硬遮罩224可元素金屬、合金、金屬氧化物、金屬氮化物、或金屬碳化物。對於蝕刻含有矽氧化物之堆疊204而言,含碳或矽氧化物堆疊硬遮罩220較佳地係碳由所製成。若堆疊204不含矽氧化物,則碳或矽氧化物硬遮罩220可為矽氧化物。較佳地,圖案化遮罩228係用以蝕刻含金屬硬遮罩224及含碳或矽氧化物硬遮罩220兩者。然而,在其他實施例中,圖案化遮罩228可用以蝕刻含金屬硬遮罩224,而且含金屬硬遮罩224可用以蝕刻含碳或矽氧化物遮罩220。如此之實施例可能在打開含碳或矽氧化物硬遮罩220時,使含金屬硬遮罩224降解(degrade)。
在本發明之另一實施例中,重複循環複數次形成碳或矽氧化物硬遮罩(步驟108)及形成金屬硬遮罩(步驟112)的步驟,以形成碳或矽氧化物硬遮罩及金屬硬遮罩的複數交替層。圖8A係已形成複數交替的含碳或矽氧化物硬遮罩820及含金屬硬遮罩824後之堆疊804的橫剖面圖。
為了使硬遮罩圖案化(步驟116),如同先前實施例般,可形成圖案化遮罩828(步驟304)。重複循環複數次蝕刻含金屬硬遮罩824(步驟308)及蝕刻含碳或矽氧化物硬遮罩820(步驟312)的步驟。圖8B係利用交替蝕刻含金屬硬遮罩824(步驟308)及蝕刻含碳或矽氧化物硬遮罩820(步驟312)的複數循環來蝕刻複數交替之含碳或矽氧化物硬遮罩820及含金屬硬遮罩824後之堆疊804的橫剖面圖。於此範例中,在使硬遮罩圖案化的蝕刻期間將圖案化遮罩828移除。
藉由硬遮罩來蝕刻堆疊804(步驟120)。先前實施例所使用之製程可用以蝕刻堆疊804,或亦可使用其他製程。圖8C係已將特徵部820蝕刻至堆疊804中之後的堆疊804之橫剖面圖。
雖然已就一些較佳實施例對本發明加以說明,惟仍有落於本發明之範圍內的變化、置換、及各種替代相等者。亦應注意有許多實施本發明之方法及設備的替代方式。因此欲使以下隨附之申請專利範圍被理解成包括所有落於本發明之真正精神及範圍內的此類變化、置換、及各種替代相等者。
104‧‧‧步驟
108‧‧‧步驟
112‧‧‧步驟
116‧‧‧步驟
120‧‧‧步驟
204‧‧‧堆疊
208‧‧‧晶圓
212‧‧‧多晶矽層
216‧‧‧矽氧化物層
220‧‧‧含碳或矽氧化物硬遮罩
224‧‧‧含金屬硬遮罩
228‧‧‧圖案化遮罩
232‧‧‧特徵部
304‧‧‧步驟
308‧‧‧步驟
312‧‧‧步驟
400‧‧‧電漿處理系統
401‧‧‧電漿處理工具
402‧‧‧電漿反應器
404‧‧‧電漿處理腔室
408‧‧‧夾盤電極
410‧‧‧氣體源
416‧‧‧氣體來源
417‧‧‧氣體歧管
418‧‧‧排氣機構
419‧‧‧壓力控制閥
420‧‧‧抽氣泵
424‧‧‧電漿
450‧‧‧TCP控制器
451‧‧‧TCP電源
452‧‧‧TCP匹配網路
453‧‧‧TCP線圈
454‧‧‧RF穿透窗
455‧‧‧偏壓電力控制器
456‧‧‧偏壓電源
457‧‧‧偏壓匹配網路
470‧‧‧電子控制電路
480‧‧‧溫度控制器
484‧‧‧冷卻電源
500‧‧‧電腦系統
502‧‧‧處理器
504‧‧‧顯示裝置
506‧‧‧記憶體
508‧‧‧儲存裝置
510‧‧‧可卸除式儲存裝置
512‧‧‧使用者介面裝置
514‧‧‧通信介面
516‧‧‧通信基礎設施
604‧‧‧步驟
608‧‧‧步驟
612‧‧‧步驟
704‧‧‧步驟
708‧‧‧步驟
712‧‧‧步驟
804‧‧‧堆疊
820‧‧‧含碳或矽氧化物硬遮罩
824‧‧‧含金屬硬遮罩
828‧‧‧圖案化遮罩
本發明係藉由舉例的方式而非限制的方式於附圖之圖式中加以說明,且其中同樣的參考數字表示類似元件。且其中:
圖1係可用於本發明之實施例中之製程的高階流程圖。
圖2A-G係根據本發明之實施例所形成之記憶體堆疊的示意橫剖面圖。
圖3係可用於本發明之實施例中之使硬遮罩圖案化之製程的詳細流程圖。
圖4係可用以實現本發明之處理腔室的示意圖。
圖5顯示一電腦系統,其適合實現本發明之實施例中所使用的控制器。
圖6係可用於本發明之實施例之蝕刻金屬硬遮罩之製程的詳細流程圖。
圖7係可用於本發明之實施例之蝕刻碳或矽氧化物硬遮罩之製程的詳細流程圖。
圖8A-C係根據本發明之另一實施例所形成之記憶體堆疊的示意橫剖面圖。

Claims (26)

  1. 一種在堆疊中蝕刻特徵部的方法,該方法包含:形成組合硬遮罩,此步驟包含;在該堆疊上形成包含碳或矽氧化物之第一硬遮罩層;在該第一硬遮罩層上形成包含金屬之第二硬遮罩層;在該第二硬遮罩層上形成包含碳或矽氧化物之第三硬遮罩層;及在該第三硬遮罩層上形成包含金屬之第四硬遮罩層;蝕刻該第四硬遮罩層,此步驟包含:使包含鹵素成份之第四硬遮罩層蝕刻氣體流入;使該第四硬遮罩層蝕刻氣體形成為電漿;及停止該第四硬遮罩層蝕刻氣體之流量;蝕刻該第三硬遮罩層,此步驟包含:使包含COS或SO2其中至少一者及氧之第三硬遮罩層蝕刻氣體流入;使該第三硬遮罩層蝕刻氣體形成為電漿;及停止該第三硬遮罩層蝕刻氣體之流量;使該第一及第二硬遮罩層圖案化;以及藉由該組合硬遮罩蝕刻該堆疊。
  2. 如申請專利範圍第1項之在堆疊中蝕刻特徵部的方法,其中該第二硬遮罩層包含元素金屬、金屬合金、金屬氧化物、金屬碳化物、或金屬氮化物其中至少一者。
  3. 如申請專利範圍第1項之在堆疊中蝕刻特徵部的方法,其中該堆疊係矽氧化物層、OPOP、或ONON其中至少一者。
  4. 如申請專利範圍第1項之在堆疊中蝕刻特徵部的方法,更包含使該第一硬遮罩層灰化。
  5. 如申請專利範圍第1項之在堆疊中蝕刻特徵部的方法,其中使該第一及第二硬遮罩層圖案化的步驟包含:在該等硬遮罩層上形成圖案化遮罩;蝕刻該第二硬遮罩層;及蝕刻該第一硬遮罩層。
  6. 如申請專利範圍第5項之在堆疊中蝕刻特徵部的方法,其中使該第一及第二硬遮罩層圖案化的步驟包含:蝕刻該第二硬遮罩層,此步驟包含:使包含鹵素成份之第二硬遮罩層蝕刻氣體流入;使該第二硬遮罩層蝕刻氣體形成為電漿;及停止該第二硬遮罩層蝕刻氣體之流量;以及蝕刻該第一硬遮罩層,此步驟包含:使包含氧及COS或SO2其中至少一者之第一硬遮罩層蝕刻氣體流入;使該第一硬遮罩層蝕刻氣體形成為電漿;及停止該第一硬遮罩層蝕刻氣體之流量。
  7. 如申請專利範圍第6項之在堆疊中蝕刻特徵部的方法,其中使該第一及第二硬遮罩層圖案化的步驟移除了該圖案化遮罩。
  8. 如申請專利範圍第5項之在堆疊中蝕刻特徵部的方法,其中蝕刻該第二硬遮罩層的步驟包含:使包含鹵素成份之第二硬遮罩層蝕刻氣體流入;使該第二硬遮罩層蝕刻氣體形成為電漿;及停止該第二硬遮罩層蝕刻氣體之流量;且其中蝕刻該第一硬遮罩層的步驟包含:使包含COS或SO2其中至少一者及氧之第一硬遮罩層蝕刻氣體流入;使該第一硬遮罩層蝕刻氣體形成為電漿;及停止該第一硬遮罩層蝕刻氣體之流量。
  9. 如申請專利範圍第8項之在堆疊中蝕刻特徵部的方法,其中該第二硬遮罩層包含元素金屬、金屬合金、金屬氧化物、金屬碳化物、或金屬氮化物其中至少一者。
  10. 如申請專利範圍第9項之在堆疊中蝕刻特徵部的方法,其中該堆疊係矽氧化物層、OPOP、或ONON其中至少一者。
  11. 一種在堆疊中蝕刻特徵部的方法,其中該堆疊係矽氧化物層、OPOP、或ONON其中至少一者,該方法包含:形成組合硬遮罩,此步驟包含;在該堆疊上形成包含碳或矽氧化物之第一硬遮罩層;在該第一硬遮罩層上形成包含金屬之第二硬遮罩層,其中該第二硬遮罩層包含元素金屬、金屬合金、金屬氧化物、金屬碳化物、或金屬氮化物其中至少一者; 在該第二硬遮罩層上形成包含碳或矽氧化物之第三硬遮罩層;在該第三硬遮罩層上形成包含金屬之第四硬遮罩層;在該等硬遮罩層上形成圖案化遮罩;藉由該圖案化遮罩蝕刻該第四硬遮罩層,此步驟包含:使包含鹵素成份之第四硬遮罩層蝕刻氣體流入;使該第四硬遮罩層蝕刻氣體形成為電漿;及停止該第四硬遮罩層蝕刻氣體之流量;蝕刻該第三硬遮罩層,此步驟包含:使包含COS或SO2其中至少一者及氧之第三硬遮罩層蝕刻氣體流入;使該第三硬遮罩層蝕刻氣體形成為電漿;及停止該第三硬遮罩層蝕刻氣體之流量;使該第一及第二硬遮罩層圖案化,其中使該第一及第二硬遮罩層圖案化的步驟包含:蝕刻該第二硬遮罩層,此步驟包含:使包含鹵素成份之第二硬遮罩層蝕刻氣體流入;使該第二硬遮罩層蝕刻氣體形成為電漿;及停止該第二硬遮罩層蝕刻氣體之流量;以及蝕刻該第一硬遮罩層,此步驟包含:使包含COS或SO2其中至少一者及氧之第一硬遮罩層蝕刻氣體流入; 使該第一硬遮罩層蝕刻氣體形成為電漿;及停止該第一硬遮罩層蝕刻氣體之流量;以及藉由該組合硬遮罩蝕刻該堆疊。
  12. 如申請專利範圍第11項之在堆疊中蝕刻特徵部的方法,其中使該等硬遮罩層圖案化的步驟移除了該圖案化遮罩。
  13. 如申請專利範圍第12項之在堆疊中蝕刻特徵部的方法,更包含使該第一硬遮罩層灰化。
  14. 一種在堆疊中蝕刻特徵部的方法,該方法包含:形成組合硬遮罩,此步驟包含:在該堆疊上形成包含碳或矽氧化物之第一硬遮罩層;在該第一硬遮罩層上形成包含金屬之第二硬遮罩層;在該第二硬遮罩層上形成包含碳或矽氧化物之第三硬遮罩層;在該第三硬遮罩層上形成包含金屬之第四硬遮罩層;及在該第二硬遮罩層與該第四硬遮罩層上形成圖案化遮罩;使該第一及第二硬遮罩層圖案化,此步驟包含:蝕刻該第二硬遮罩層,此步驟包含:使包含鹵素成份之第二硬遮罩層蝕刻氣體流入;使該第二硬遮罩層蝕刻氣體形成為電漿;及停止該第二硬遮罩層蝕刻氣體之流量;以及蝕刻該第一硬遮罩層,此步驟包含:使包含COS或SO2其中至少一者及氧之第一硬遮罩層蝕刻氣 體流入;使該第一硬遮罩層蝕刻氣體形成為電漿;及停止該第一硬遮罩層蝕刻氣體之流量;以及藉由該組合硬遮罩蝕刻該堆疊。
  15. 如申請專利範圍第14項之在堆疊中蝕刻特徵部的方法,其中使該第一及第二硬遮罩層圖案化的步驟移除了該圖案化遮罩。
  16. 如申請專利範圍第14項之在堆疊中蝕刻特徵部的方法,其中該堆疊係矽氧化物層、OPOP、或ONON其中至少一者。
  17. 如申請專利範圍第14項之在堆疊中蝕刻特徵部的方法,更包含使該第一硬遮罩層灰化。
  18. 如申請專利範圍第14項之在堆疊中蝕刻特徵部的方法,其中該堆疊係矽氧化物、或多晶矽其中至少一者。
  19. 如申請專利範圍第14項之在堆疊中蝕刻特徵部的方法,更包含:蝕刻該第四硬遮罩層,此步驟包含:使包含鹵素成份之第四硬遮罩層蝕刻氣體流入;使該第四硬遮罩層蝕刻氣體形成為電漿;及停止該第四硬遮罩層蝕刻氣體之流量;以及蝕刻該第三硬遮罩層,此步驟包含:使包含COS或SO2其中至少一者及氧之第三硬遮罩層蝕刻氣體流入;使該第三硬遮罩層蝕刻氣體形成為電漿;及停止該第三硬遮罩層蝕刻氣體之流量。
  20. 如申請專利範圍第14項之在堆疊中蝕刻特徵部的方法,其中該第一硬遮罩層為非晶碳。
  21. 如申請專利範圍第20項之在堆疊中蝕刻特徵部的方法,其中該第二硬遮罩層為鈦氮化物。
  22. 如申請專利範圍第21項之在堆疊中蝕刻特徵部的方法,其中該圖案化遮罩為矽氮化物。
  23. 一種在堆疊中蝕刻特徵部的方法,其中該堆疊係矽氧化物層、OPOP、或ONON其中至少一者,該方法包含:形成組合硬遮罩,此步驟包含:在該堆疊上形成包含碳或矽氧化物之第一硬遮罩層;在該第一硬遮罩層上形成包含金屬之第二硬遮罩層,其中該第二硬遮罩層包含元素金屬、金屬合金、金屬氧化物、金屬碳化物、或金屬氮化物其中至少一者;在該第二硬遮罩層上形成包含碳或矽氧化物之第三硬遮罩層;在該第三硬遮罩層上形成包含金屬之第四硬遮罩層;及在該第二硬遮罩層上形成圖案化遮罩;使該第一及第二硬遮罩層圖案化,此步驟包含:蝕刻該第二硬遮罩層,此步驟包含:使包含鹵素成份之第二硬遮罩層蝕刻氣體流入;使該第二硬遮罩層蝕刻氣體形成為電漿;及停止該第二硬遮罩層蝕刻氣體之流量;以及 蝕刻該第一硬遮罩層,此步驟包含:使包含COS或SO2其中至少一者及氧之第一硬遮罩層蝕刻氣體流入;使該第一硬遮罩層蝕刻氣體形成為電漿;及停止該第一硬遮罩層蝕刻氣體之流量;以及藉由該組合硬遮罩蝕刻該堆疊。
  24. 如申請專利範圍第23項之在堆疊中蝕刻特徵部的方法,更包含:蝕刻該第四硬遮罩層,此步驟包含:使包含鹵素成份之第四硬遮罩層蝕刻氣體流入;使該第四硬遮罩層蝕刻氣體形成為電漿;及停止該第四硬遮罩層蝕刻氣體之流量;以及蝕刻該第三硬遮罩層,此步驟包含:使包含COS或SO2其中至少一者及氧之第三硬遮罩層蝕刻氣體流入;使該第三硬遮罩層蝕刻氣體形成為電漿;及停止該第三硬遮罩層蝕刻氣體之流量。
  25. 如申請專利範圍第24項之在堆疊中蝕刻特徵部的方法,其中使該第一及第二硬遮罩層圖案化的步驟移除了該圖案化遮罩。
  26. 如申請專利範圍第25項之在堆疊中蝕刻特徵部的方法,更包含使該第一硬遮罩層灰化。
TW103133356A 2013-09-26 2014-09-25 具有組合遮罩之高深寬比蝕刻 TWI654760B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/038,388 US9018103B2 (en) 2013-09-26 2013-09-26 High aspect ratio etch with combination mask
US14/038,388 2013-09-26

Publications (2)

Publication Number Publication Date
TW201526242A TW201526242A (zh) 2015-07-01
TWI654760B true TWI654760B (zh) 2019-03-21

Family

ID=52691317

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103133356A TWI654760B (zh) 2013-09-26 2014-09-25 具有組合遮罩之高深寬比蝕刻

Country Status (4)

Country Link
US (2) US9018103B2 (zh)
KR (1) KR102364485B1 (zh)
SG (1) SG10201406081QA (zh)
TW (1) TWI654760B (zh)

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9236255B2 (en) * 2013-06-26 2016-01-12 Applied Materials, Inc. Methods for forming three dimensional NAND structures atop a substrate
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10141166B2 (en) * 2014-08-15 2018-11-27 Applied Materials, Inc. Method of real time in-situ chamber condition monitoring using sensors and RF communication
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10246772B2 (en) * 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9852923B2 (en) 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9972502B2 (en) 2015-09-11 2018-05-15 Lam Research Corporation Systems and methods for performing in-situ deposition of sidewall image transfer spacers
KR20180045047A (ko) 2015-09-19 2018-05-03 어플라이드 머티어리얼스, 인코포레이티드 티타늄-화합물 계 하드 마스크 막들
US10553430B2 (en) 2015-09-25 2020-02-04 Intel Corporation Technologies for inverting lithographic patterns and semiconductor devices including high aspect ratio structures
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10658194B2 (en) * 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
JP6609535B2 (ja) * 2016-09-21 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
KR102462439B1 (ko) 2016-10-18 2022-11-01 삼성전자주식회사 반도체 소자의 제조 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102557334B1 (ko) * 2017-02-01 2023-07-18 어플라이드 머티어리얼스, 인코포레이티드 하드마스크 적용들을 위한 붕소 도핑 텅스텐 탄화물
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10242883B2 (en) * 2017-06-23 2019-03-26 Lam Research Corporation High aspect ratio etch of oxide metal oxide metal stack
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
KR102336105B1 (ko) 2017-07-19 2021-12-06 삼성전자주식회사 반도체 장치의 제조 방법
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102373442B1 (ko) 2017-09-08 2022-03-14 삼성디스플레이 주식회사 박막증착용 마스크와, 이의 제조방법
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11270890B2 (en) * 2018-12-14 2022-03-08 Lam Research Corporation Etching carbon layer using doped carbon as a hard mask
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102328573B1 (ko) * 2020-01-17 2021-11-17 성균관대학교산학협력단 C-free 할로겐 기반의 가스를 이용한 실리콘 산화막 대비 높은 식각 선택비를 갖는 실리콘 질화막 건식 식각 방법
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230397416A1 (en) * 2022-06-03 2023-12-07 Tokyo Electron Limited Metal Hardmasks
WO2024044216A1 (en) * 2022-08-25 2024-02-29 Lam Research Corporation High aspect ratio etch with a non-uniform metal or metalloid containing mask

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200943408A (en) 2007-09-27 2009-10-16 Lam Res Corp Line width roughness control with ARC layer open
US20100081286A1 (en) 2008-09-17 2010-04-01 Nam-Gun Kim Method of etching carbon-containing layer, method of forming contact hole using the same, and method of manufacturing semiconductor device using the same
US20100163525A1 (en) 2008-12-26 2010-07-01 Tokyo Electron Limited Substrate processing method and storage medium
TW201214509A (en) 2010-03-26 2012-04-01 Tokyo Electron Ltd Substrate processing method
US20120115331A1 (en) 2010-11-04 2012-05-10 Samsung Electronics Co., Ltd. Methods of forming fine patterns and methods of fabricating semiconductor devices

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1107342C (zh) * 1997-01-21 2003-04-30 松下电器产业株式会社 图案形成方法
US6319822B1 (en) * 1998-10-01 2001-11-20 Taiwan Semiconductor Manufacturing Company Process for forming an integrated contact or via
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
JP3909283B2 (ja) * 2002-10-31 2007-04-25 富士通株式会社 半導体装置の製造方法
US7432194B2 (en) * 2005-06-10 2008-10-07 United Microelectronics Corp. Etching method and method for forming contact opening
US7358182B2 (en) * 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
KR100898678B1 (ko) * 2006-10-31 2009-05-22 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20100028544A (ko) * 2007-05-03 2010-03-12 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
US8766239B2 (en) 2008-12-27 2014-07-01 E I Du Pont De Nemours And Company Buffer bilayers for electronic devices
US8697340B2 (en) * 2008-12-29 2014-04-15 Macronix International Co., Ltd. Semiconductor structure and method of fabricating the same
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200943408A (en) 2007-09-27 2009-10-16 Lam Res Corp Line width roughness control with ARC layer open
US20100081286A1 (en) 2008-09-17 2010-04-01 Nam-Gun Kim Method of etching carbon-containing layer, method of forming contact hole using the same, and method of manufacturing semiconductor device using the same
US20100163525A1 (en) 2008-12-26 2010-07-01 Tokyo Electron Limited Substrate processing method and storage medium
TW201214509A (en) 2010-03-26 2012-04-01 Tokyo Electron Ltd Substrate processing method
US20120115331A1 (en) 2010-11-04 2012-05-10 Samsung Electronics Co., Ltd. Methods of forming fine patterns and methods of fabricating semiconductor devices

Also Published As

Publication number Publication date
US9659783B2 (en) 2017-05-23
US9018103B2 (en) 2015-04-28
TW201526242A (zh) 2015-07-01
US20150087154A1 (en) 2015-03-26
KR20150034660A (ko) 2015-04-03
KR102364485B1 (ko) 2022-02-16
SG10201406081QA (en) 2015-04-29
US20150200106A1 (en) 2015-07-16

Similar Documents

Publication Publication Date Title
TWI654760B (zh) 具有組合遮罩之高深寬比蝕刻
JP6789614B2 (ja) 不揮発性金属材料をエッチングする方法
TWI735522B (zh) 混合式階梯蝕刻
TWI651805B (zh) 具有高角落選擇性的自我對準接觸窗/導通孔之形成方法
TWI774790B (zh) 氧化物-金屬-氧化物-金屬堆疊之高深寬比蝕刻
TW201801184A (zh) 蝕刻介電層中之特徵部的方法
CN107919264A (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
TW201707087A (zh) 梯階結構之形成方法
TW201906005A (zh) 多孔低介電常數介電蝕刻
TWI659469B (zh) 含鎢層之蝕刻方法
TW201306124A (zh) 藉由氬濺鍍之硬遮罩臨界尺寸控制方法
TWI743045B (zh) 陰影修整線邊緣粗糙度減低
TWI759340B (zh) 矽氧化物矽氮化物堆疊離子輔助蝕刻
CN104953026A (zh) 蚀刻非挥发性金属材料的方法
TW201828360A (zh) 高深寬比蝕刻