TWI647330B - 低氧化電漿輔助製程 - Google Patents

低氧化電漿輔助製程 Download PDF

Info

Publication number
TWI647330B
TWI647330B TW104111910A TW104111910A TWI647330B TW I647330 B TWI647330 B TW I647330B TW 104111910 A TW104111910 A TW 104111910A TW 104111910 A TW104111910 A TW 104111910A TW I647330 B TWI647330 B TW I647330B
Authority
TW
Taiwan
Prior art keywords
power
cycle
oxide layer
sub
film
Prior art date
Application number
TW104111910A
Other languages
English (en)
Other versions
TW201542858A (zh
Inventor
中野竜
井上尚樹
難波邦年
Original Assignee
美商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商Asm Ip控股公司 filed Critical 美商Asm Ip控股公司
Publication of TW201542858A publication Critical patent/TW201542858A/zh
Application granted granted Critical
Publication of TWI647330B publication Critical patent/TWI647330B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本發明係有關一種藉電漿輔助循環製程形成氧化膜之方法,包括:(i)供應一前驅物至一反應空間,其中該空間放置一基板;(ii)於第一時間段施敷一第一射頻功率至該反應空間而不供應一前驅物;以及(iii)於第二時間段施敷一第二射頻功率至該反應空間而不供應該前驅物,其中第一射頻功率係低於第二射頻功率,及/或第一時間段係短於第二時間段。

Description

低氧化電漿輔助製程
本發明一般而言係有關一種藉電漿輔助製程如電漿增強式原子層沉積法(plasma-enhanced atomic layer deposition;簡稱PEALD)形成金屬或矽之氧化膜之方法。
於PEALD製程中,取決於應用類型,有問題尚待解決,如底層之氧化作用。常規上,此問題以降低射頻功率處理。然而,當射頻功率降低時,無法取得薄膜所需特性如厚度、均勻度、及濕蝕刻率(wet etch rate)等。
舉例而言,SiO製程需要低射頻功率,其中無需底層3之氧化,係因如圖1A所述,當施敷高射頻功率時,底層3由於氧電漿、離子衝擊、及薄膜沉積期間濺射等影響而氧化。亦即,當SiO薄膜1藉PEALD沉積於底層3上時,其中含有W、TiN、或其他材料,或其為SiN薄膜,構成底層3之材料係於其上部2處由電漿氧化,該電漿包括用於沉積SiO薄膜1之氧化性氣體,從而無法取得所需之裝置特性。
此外,於超精細製程中,例如FinFET製程(內建於SOI基 板上之非平面、雙匣電晶體),如圖1B所示,具高6nm之Si突起圖案4係進行PEALD,如(a)所示。當於高氧化條件7下進行PEALD時,突起圖案4之表面5係經氧化及侵蝕,而SiO薄膜6係藉PEALD沉積,從而減少突起圖案4之尺寸,特別是在垂直方向(例如,突起圖案4之高度減至4nm),如(b)所示。其結果為,圖案尺寸改變,可能無法取得所設計之電子性質。相反地,當於低氧化條件8下進行PEALD時,突起圖案4之表面未明顯氧化,而SiO薄膜6係藉PEALD沉積,從而防止突起圖案4之尺寸減少,特別是在垂直方向(突起圖案4之高度可維持在6nm),如(c)所示。
此外,光阻之薄膜沉積製程需要低射頻功率,係因如圖1C所示,當於薄膜沉積製程期間施敷高射頻功率時,光阻尺寸由於氧電漿、離子衝擊、及濺射等影響而回蝕(set back)及減少。亦即,當氧化物9藉PEALD沉積於圖案化光阻10時,其中該光阻係以雙重圖案化製程形成於基板11上,底部光阻10之一部分12係藉電漿氧化及侵蝕,該電漿包括用於沉積SiO薄膜9之氧化性氣體,從而無法取得所需尺寸之光阻10。
相關技術所涉及之問題及解答之任何討論係已涵蓋於本發明之中,其目的僅在於提供本發明之前後關係,而不應視為認可所討論之任一部分或全部於發明完成時即已習知。
於一第一具體實施例,其可解決至少一上述問題,氧化膜由多層組成,其係由體材膜(bulk film)與保護膜之結合物構成,其中體材膜係一經沉積以滿足針對目標應用之均勻度、薄膜圖案、及薄膜品質之薄膜, 而不強加限制射頻功率及/或氧化條件,以及保護膜係於體材膜沉積前以低射頻功率沉積之薄膜。於一第二具體實施例,其可解決至少一上述問題,係藉以低射頻功率重複至少一低氧化循環及以高射頻功率重複至少一非氧化循環而沉積氧化膜,從而維持良好薄膜品質,且抑制底層氧化。
於該第一具體實施例,於開始沉積一氧化膜時,以低射頻功率形成一保護膜以作為初始膜,之後連續沉積薄膜,直到薄膜厚度達到目標值,該薄膜經設計以滿足目標應用所需之薄膜均勻度、薄膜圖案、及薄膜品質。於一些具體實施例,初始膜係藉低射頻功率,如300-mm晶圓低於100W,所產生之電漿沉積,而標靶膜係藉高射頻功率,如300-mm晶圓100W至800W,所產生之電漿沉積。最終薄膜係由保護膜與標靶膜之結合物構成,其中保護膜之最小厚度為約2nm。於一些具體實施例,製程溫度範圍為約50℃至約400℃,其係電熱線型鋁基座之可控範圍。於一些具體實施例,電極間距範圍為約7mm至約15mm。根據該第一具體實施例,可達到改進薄膜品質及抑制基底膜(underlying film)氧化。此外,可最小化生產力下降之情況,即使是進行低氧化製程。
於該第二具體實施例,藉重複低功率低氧化循環與高功率非氧化循環構成之PEALD循環而沉積薄膜,從而取得所需之薄膜品質及抑制基底膜氧化。於一些具體實施例,第一電漿處理步驟係使用一包括氧之氣體系統且第二電漿處理步驟係使用一不包括氧之氣體系統,其係於特定條件下進行。於一些具體實施例,第一步驟之氧化劑流速為各反應室約500sccm至2,000sccm,而第二步驟不供應氧化性氣體。於一些具體實施例,製程溫度範圍為約50℃至約400℃,其係電熱線型鋁基座之可控範圍。於 一些具體實施例,製程壓力範圍為約200Pa至約500Pa,以便推動電漿點火(ignition),即使是在低射頻功率下亦然,以達到良好沖淨效率(purge efficiency)。第一步驟之射頻功率係低至如300-mm晶圓約30W至約100W,以便抑制基底膜氧化,且第二步驟之射頻功率範圍為約300-mm晶圓100W至約800W,其係高於第一步驟之範圍,係因第二步驟不包括氧化。於一些具體實施例,電極間距範圍為約7mm至約15mm。於一些具體實施例,各循環之射頻功率施敷時間依標靶膜品質而變,且其於第一步驟之範圍為約0.1秒至約1.5秒,而其至少0.1秒。根據該第二具體實施例,可達到維持高薄膜品質及抑制基底膜氧化。此外,不同於後處理(即表面處理),藉交替重複第一與第二步驟,不僅表面還有深處部分,於厚度方向上可均勻維持高薄膜品質。此外,藉改變第一與第二步驟之循環數比例及/或第一步驟與第二步驟之射頻功率施敷時間比例,而非改變第一與第二步驟之射頻功率,可控制薄膜品質。
本文係描述本發明之特定主體及優勢,目的在於摘錄本發明之態樣及相關技術達成之優勢。當然,應理解到,並非所有該些主體或優勢可根據本發明之任何特定具體實施例而實現。因此,例如,本領域之技術人員應體認到,本發明可藉達成或優化本文所教導之一優勢或一組優勢之方式而體現或實現,而不必達成其他本文所教導或建議之主體或優勢。
本發明之進一步態樣、特徵、及優勢將因下列詳述而顯見。
1‧‧‧SiO薄膜
2‧‧‧上部
3‧‧‧底層
4‧‧‧Si突起圖案
5‧‧‧表面
6‧‧‧SiO薄膜
7‧‧‧高氧化條件
8‧‧‧低氧化條件
9‧‧‧氧化物
9‧‧‧SiO薄膜
10‧‧‧光阻
11‧‧‧基板
12‧‧‧部分
41‧‧‧基板
42‧‧‧導電平板電極
42‧‧‧下平台
43‧‧‧反應室
44‧‧‧導電平板電極
44‧‧‧上電極
45‧‧‧高射頻功率
46‧‧‧排氣管
51‧‧‧內部
52‧‧‧電接地
63‧‧‧氣體流量控制器
64‧‧‧密封氣體流量控制器
71‧‧‧脈衝流量控制閥
72‧‧‧脈衝流量控制閥
73‧‧‧脈衝流量控制閥
74‧‧‧瓶
90‧‧‧低射頻功率
本專利或申請文件含有至少一彩色圖式。本專利或專利申請 公開案之彩圖副本將由智慧財產局根據請求及給付所需費用後提供。
本發明之該些及其他特徵現將參照較佳具體實施例之圖式陳述,其旨在於說明而非侷限本發明。附圖係經明顯簡化以用於說明,且不一定按比例繪製。
圖1A係部分加工之積體電路的示意剖面圖,其顯示SiO製程。
圖1B係由(a)、(b)、及(c)組成,其顯示超精細製程,如FinFET製程(內建於SOI基板上之非平面、雙匣電晶體)。
圖1C係部分加工之積體電路的示意剖面圖,其顯示沉積一薄膜於光阻之製程。
圖2A係顯示實施例之結果,其中電漿增強式原子層沉積法(PEALD)之「邊緣變厚」配方循環數及POR配方循環數係經改變。
圖2B係顯示薄膜厚度圖案之彩色版影像,其係由圖2A所示薄膜之2D彩色圖譜分析測量。
圖3係本發明具體實施例之保護性氧化膜之臨界尺寸(critical dimensions;CD)與厚度間關係之顯示圖。
圖4係說明本發明具體實施例之X循環、Y循環、及Z循環構成之順序。
圖5係實施例取得之薄膜濕蝕刻率顯示圖。
圖6係本發明具體實施例使用之沉積氧化膜之PEALD裝置示意圖。
於本發明中,「氣體」可包括經蒸發之固體及/或液體,且可由單一氣體或氣體混合物構成。同樣地,「一」或「一者」等詞係指一物種或屬,其包括多個物種。於本發明中,經由噴灑頭導入反應室之製程氣體可包含、基本上組成自、或組成自含金屬或矽前驅物及反應氣體。反應氣體包括一氣體,當射頻功率施敷至反應氣體時,該氣體氧化前驅物。前驅物及反應氣體可個別導入反應空間。前驅物可結合載體氣體如稀有氣體導入。一製程氣體以外之氣體,亦即不通過噴灑頭所導入之氣體,可用於如密封反應空間,其包括密封氣體如稀有氣體。於一些具體實施例,「薄膜」係指一層連續地以垂直於厚度之方向延伸,且實質上無須針孔覆蓋整個標靶或關注面。於一些具體實施例,「層」係指於一表面上形成特定厚度之結構或薄膜之同義詞或非薄膜結構。薄膜或層可由具特定特性之分立單膜或層、或多膜或層構成,且相鄰薄膜或層間之邊界可或可不明確,並可根據物理、化學、及/或任何其他特性、成型製程或順序、及/或相鄰薄膜或層之功能或目的而建立。於一些具體實施例,「由~構成」乙詞係指「包含」、「基本上組成自」、或「組成自」。此外,於本發明中,一變數之任二數字可構成該變數之可行範圍,而該可行範圍可根據常規作業決定,且任何指定範圍可涵蓋或排除終點。此外,於一些具體實施例,變數之任何指定值(不論其是否以「約」指定)可指精確值或近似值且包括等同物,並可指平均值、中位數、代表值、多數值等。
於本發明中,若未指定條件及/或結構,本領域之技術人員可鑑於本發明,易於提供此類條件及/或結構,以進行常規實驗。
於所有揭示之具體實施例中,具體實施例所使用之任何元件可以其等同之任何元件替換,包括本文中針對預期目的之該些明確、必然、或本質上之揭示。此外,本發明可同樣應用於其他裝置及方法。
於本發明中,於一些具體實施例,任何定義之含意不一定排除普通及習慣含意。
於一些具體實施例,一種藉電漿輔助循環製程形成氧化膜之方法,包含:(i)供應一前驅物至一反應空間,其中該空間放置一基板;(ii)於第一時間段施敷一第一射頻功率至該反應空間而不供應該前驅物;以及(iii)於第二時間段施敷一第二射頻功率至該反應空間而不供應該前驅物,其中第一射頻功率係低於第二射頻功率,及/或第一時間段係短於第二時間段。於一些具體實施例,氧化膜係於厚度方向上具實質上均勻組合物之薄膜,且根據組合物均勻度及/或連續性歸類為單膜。此外,「氧化膜」可指特徵為M-O鍵(M係金屬或矽)之薄膜,其主要或主體上由M-O鍵構成,並歸類為Mi-O薄膜,及/或其主要骨架實質上由Mi-O鍵構成。當使用具烴,如有機胺基矽烷,之前驅物時,氧化膜可含衍生自前驅物之碳。於一些具體實施例,氧化膜可含碳、氫、及/或氮等次要元素。
於一些具體實施例,氧化膜係由一第一氧化層及一第二氧化層構成,其中步驟(i)及(ii)而非步驟(iii)構成一第一循環,且藉重複第一循環,第一氧化層係於基板上形成,且其中步驟(i)及(iii)而非步驟(ii)構成一第二循環,且藉重複第二循環,第二氧化層係於第一氧化膜之頂部形成,用於第一及第二循環之前驅物係相同。於一些具體實施例,第一氧化層及第二氧化層係連續形成,其中氧化膜係於厚度方向上由實質上均勻之組合物 構成。於本發明中,第一氧化層亦可指「一保護層」或「一初始層」,且第二氧化層可指「一標靶氧化層」。
於一些具體實施例,步驟(ii)係經控制,以使第一氧化層具一薄膜圖案,其顯示第一氧化層之厚度,其中第一氧化層之中央係厚於沿第一氧化層之邊緣,且步驟(iii)係經控制,以使第二氧化層具一薄膜圖案,其顯示第二氧化層之厚度,其中沿第二氧化層之邊緣係厚於第二氧化層之中央。於沉積第一氧化層時,由於步驟(ii)使用低於步驟(iii)之射頻功率及/或短於步驟(iii)之射頻功率施敷,可有效抑制基底膜氧化,且當沉積第二氧化層時,第一氧化層可保護基底膜免於氧化。因此,第一氧化層之作用為保護層。此外,於沉積第一氧化層時,由於步驟(ii)使用低於步驟(iii)之射頻功率及/或短於步驟(iii)之射頻功率施敷,第一氧化層之薄膜圖案傾向顯示中央變厚且邊緣變薄,即凸起之橫截面,而第二氧化層之薄膜圖案傾向顯示邊緣變厚且中央變薄,即凹陷之橫截面。於一些具體實施例,第二氧化層厚於第一氧化層,且氧化膜具薄膜圖案,其顯示氧化膜之厚度,其中氧化膜之中央及沿其之邊緣係厚於中央與邊緣間之區域。藉篩選第一及第二氧化層之厚度,可操控標靶氧化膜之薄膜圖案。於本發明中,薄膜或層之厚度係指薄膜或層之平均厚度,其係藉隨機選取薄膜或層之多個點以測量薄膜或層之厚度而定。
於一些具體實施例,重複第一循環直到第一氧化層之厚度為約2nm或以上(如3nm、5nm、7nm、10nm、15nm、20nm、30nm、或任何前述數字間之值)。於一些具體實施例,第一氧化層之厚度為約20nm或以下。當第一氧化層具上述厚度時,其有效作為保護層(保護基底膜免 於氧化)。
於一些具體實施例,於整個步驟(i)、步驟(ii)、及步驟(iii)中,氧化性氣體係連續供應至反應室。當連續進行步驟時,第一與第二氧化層間不形成介面,以維持良好連續性。於一些具體實施例,於第一氧化層形成後及於第二氧化層形成前,第一氧化層可曝露於環境空氣。於該情況,可檢測第一與第二氧化層間之介面。然而,該檢測層係無形且無實質內容,故由第一及第二氧化層構成之氧化膜可視為單膜。此外,生產力係經改進。於本發明之一些具體實施例,「連續」係指不破壞真空、不中斷時間、不改變處理條件,之後立即進行下一步驟,或二結構間無分立之物理或化學邊界。
於一些具體實施例,第一射頻功率不大於約0.14W/cm2(基板之每單位面積瓦特數)(如0.05W/cm2、0.07W/cm2、0.10W/cm2、或任何前述數字間之值)且第二射頻功率不小於約0.14W/cm2且不大於約1.13W/cm2(如0.20W/cm2、0.50W/cm2、1.00W/cm2、或任何前述數字間之值)。於一些具體實施例,除上述或此外,用於施敷射頻功率之第一時間段為約0.1秒至約1.5秒(如0.2秒、0.5秒、1.0秒、或任何前述數字間之值),且用於施敷射頻功率之第二時間段係長於第一時間段。於一些具體實施例,第一射頻功率及第二射頻功率係相同,且用於施敷射頻功率之第一時間段係短於用於施敷射頻功率之第二時間段。於一些具體實施例,第一射頻功率係低於第二射頻功率,且用於施敷射頻功率之第一時間段係與用於施敷射頻功率之第二時間段相同。較佳地,射頻功率及施敷時間兩者係經調整以有效抑制底層氧化,以取得所需之最終氧化膜。
作為SiO薄膜之前驅物,例如,可使用有機胺基矽烷,包括雙(二乙基胺基)矽烷(BDEAS或SAM24)、肆(二甲基胺基)矽烷(4DMAS)、參(二甲基胺基)矽烷(3DMAS)、雙(二甲基胺基)矽烷(2DMAS)、肆(乙基甲基胺基)矽烷(4EMAS)、參(乙基甲基胺基)矽烷(3EMAS)、雙(三級丁基胺基)矽烷(BTBAS)、及雙(乙基甲基胺基)矽烷(BEMAS),其係單獨或任意結合二或多者使用。於一些具體實施例,前驅物基本上由前述化合物之任一者組成。「基本上由~組成」乙詞係用於法律及法規許可之最大範圍。
於一些具體實施例,電漿係以具或不具稀有氣體之O2、CxOy、及/或NxOy產生。CxOy、CO、C2O、CO2、C3O2、CO3、及C5O2可單獨或任意結合二或多者使用。NxOy、NO、N2O、NO2、N2O3、N2O4,、及N2O5可單獨或任意結合二或多者使用。
作為載體氣體、密封氣體、沖洗氣體、或製程調整氣體(輔助氣體),可使用稀有氣體如Ar、He、Ne、Kr、及Xe,其係單獨或任意結合二或多者使用。
於一些具體實施例,步驟(i)至(iii)係於溫度約50℃至約400℃下進行,且第一及第二射頻功率係於電極間充電,電極相距約7mm至15mm,其間放置基板。於一些具體實施例,電漿輔助循環製程為電漿增強式原子層沉積法(PEALD)。
於一些具體實施例,第一氧化層可於下列條件下沉積,儘管該條件可根據氧化膜類型而變:
於一些具體實施例,第二氧化層可於下列條件下沉積,儘管該條件可根據氧化膜類型而變:
於一些具體實施例,第一氧化層及第二氧化層可藉僅改變射頻功率施敷而連續形成。於其他具體實施例,第一氧化層及第二氧化層可藉不僅改變射頻功率施敷還有氣體供應條件而連續形成,其具過渡期,其中可逐步及連續改變氣流。
於其他具體實施例,氧化膜係由多個子氧化層構成,其中步驟(i)、(ii)、及(iii)構成一循環,且藉重複該循環,於基板上形成多個子氧化 層,其中於步驟(ii),係供應氧化性氣體,且於步驟(iii),不供應氧化性氣體。於上述具體實施例中,以多個子氧化層替換保護層,可有效抑制底層氧化,其中各子層係於低氧化條件下沉積,其中射頻功率低及/或射頻功率施敷時間短,接著為高射頻功率及/或更長射頻功率時間條件下之非氧電漿處理循環。多個子氧化層之沉積條件及電漿處理條件可實質上類似於或等同於先前描述之保護層及標靶層,除了下面具體描述之條件以外。
於一些具體實施例,形成標靶氧化膜之循環(亦稱作「Z循環」)係由至少一第一子循環(亦稱作「X循環」)及至少一第二子循環(亦稱作「Y循環」)構成,各第一子循環係由步驟(i)及(ii)而非步驟(iii)構成,各第二子循環係由步驟(iii)而非步驟(i)及(ii)構成。於一些具體實施例,各第一子循環沉積一子層,其構成氧化膜,且各第二子循環不沉積子層。於上述中,第二子循環為非氧電漿表面處理,不沉積任何薄膜或層。當於第二子循環時,無前驅物供應至反應空間,且該循環用作表面電漿處理,其可有效緻密化子層並改進薄膜性質。由於第二子循環之緻密化結果,子層厚度減少。
於一些具體實施例,該循環(Z循環)係由至少一第一子循環(X循環)及至少一第二子循環(Y循環)構成,各第一子循環係由步驟(i)及(ii)而非步驟(iii)構成,各第二子循環係由步驟(i)及(iii)而非步驟(ii)構成。於上述具體實施例中,由於第二子循環使用前驅物,由第一子循環形成之子層緻密化可能進展不足,且薄膜性質可能未改進。然而,薄膜生長率高,且生產力改進。因此,取決於所需之薄膜性質及生產力,前驅物可用於第二子循環。
根據所需之薄膜性質及應用,選擇Z循環數、X循環數、及Y循環數。於一些具體實施例,各Z循環取得之層厚度為約0.05nm至約2.0nm,其取決於X循環數。於一些具體實施例,針對氧化膜之預期應用及目的,可改變各Z循環之X循環數及Y循環數,形成二或多層,以便改良厚度方向之薄膜性質。於一些具體實施例,氧化膜之薄膜圖案顯示邊緣變厚且中央變薄(即凹陷之橫截面)。
於一些具體實施例,於第一子循環,氧化性氣體係以流速約500sccm至約2,000sccm(如750sccm、1,000sccm、1,500sccm、及任何前述數字間之值)連續供應至反應空間,且於第二子循環,無氧化性氣體供應至反應空間。
於一些具體實施例,第一射頻功率不大於約0.14W/cm2(基板之每單位面積瓦特數)(如0.05W/cm2、0.07W/cm2、0.10W/cm2、或任何前述數字間之值)且第二射頻功率不小於約0.14W/cm2且不大於約1.13W/cm2(如0.20W/cm2、0.50W/cm2、1.00W/cm2、或任何前述數字間之值)。於一些具體實施例,除上述或此外,用於施敷射頻功率之第一時間段為約0.1秒至約1.5秒(如0.2秒、0.5秒、1.0秒、或任何前述數字間之值),且用於施敷射頻功率之第二時間段係長於第一時間段。於一些具體實施例,第一射頻功率與第二射頻功率係相同,且用於施敷射頻功率之第一時間段係短於用於施敷射頻功率之第二時間段。於一些具體實施例,第一射頻功率係低於第二射頻功率,且用於施敷射頻功率之第一時間段與用於施敷射頻功率之第二時間段係相同。較佳地,射頻功率及施敷時間兩者係經調整,以有效抑制底層氧化,以取得所需之最終氧化膜。
於一些具體實施例,子層係連續形成,其中氧化膜係於厚度方向上由實質上均勻之組合物構成。由於重複第一及第二子循環,構成氧化膜之組合物的均勻度及連續性可於厚度方向上維持。
於一些具體實施例,第一子循環(X循環)可於下列條件進行,儘管該條件可根據氧化膜類型而變:
於一些具體實施例,第二子循環(Y循環)可於下列條件進行,儘管該條件可根據氧化膜類型而變:
於上述中,符號「*」表示由第二子循環轉換至第一子循環之過渡期,該功能係沖洗機制所需。
於一些具體實施例,第一子循環及第二子循環可連續進行,係藉僅改變射頻功率施敷及稀釋氣體流量。於其他具體實施例,第一子循環及第二子循環可連續進行,係藉不僅改變射頻功率施敷及稀釋氣體流量,還有其他氣體流量。
圖4係說明本發明具體實施例之X循環、Y循環、及Z循環構成之順序。於此具體實施例,於Y循環,不供應前驅物,且不供應氧化性氣體,但供應更高之射頻功率,且時間比X循環的長。由於X循環與Y循環間之製程條件不同,其間存在過渡期,其一般而言為0.1秒或0.2秒。 於一些具體實施例,於X循環,可以脈衝方式供應O2,其不與前驅物脈衝重疊。於一些具體實施例,藉施敷射頻功率至反應空間,可於反應空間產生電漿(原位電漿)。於一些具體實施例,可以遠端電漿單元激發反應氣體並供應電漿至反應空間。
圖6係本發明一些具體實施例使用之PEALD裝置示意圖,較佳為連接可控編程以進行本文所述之順序。於此圖中,藉提供一對平行之導電平板電極44、42,並於反應室43之內部51彼此相對,施敷高射頻功率(13.56MHz或27MHz)45及低射頻功率5MHz或更低(400kHz~500kHz,必要時)90至一側,電接地52另一側,於電極間激發電漿。於下平台42(下電極)提供溫度調節器,且置於其上之基板41溫度維持在恆定溫度。上電極44係作為淋浴板(shower plate),且反應氣體及稀有氣體經由氣體流量控制器63、脈衝流量控制閥71-73、及淋浴板導入反應室43。於此具體實施例,前驅物以液態保存於一瓶74,其配備加熱器。稀有氣體可連續流入反應室43,其係(a)經由閥71,當閥72與73關閉時,或(b)經由閥72、瓶74、及閥73,當閥71關閉時。於(b),稀有氣體攜帶前驅物,並與前驅物一起流入反應室43。於(a),稀有氣體單獨流入反應室43。藉上述閥門操作機制,於脈衝供給前驅物時,可連續供給稀有氣體。此外,於反應室43,提供一排氣管46,反應室43內部51之氣體可經其排出。此外,反應室係提供一密封氣體流量控制器64,以導入密封氣體至反應室43的內部51(本圖省略一分隔板,其於反應室內部分隔出反應區及轉移區)。針對循環式PECVD,可使用相同裝置。
本領域之技術人員應理解到,所述裝置包括一或多個控制器 (未顯示),其係經編程或以其他方式配置,使得進行本文另外描述之沉積及反應器清洗製程。控制器係與反應器之各電源、加熱系統、泵、機器、及氣體流量控制器或閥門相通信,如本領域之技術人員所理解。
實施例 實施例1
將300-mm Si基板,其具光阻圖案形成於其上,載入圖6所示之裝置,並於下表5所示之條件下藉PEALD沉積一氧化膜。結果顯示於圖2A。於此應用中,標靶膜係產生薄膜圖案所需,且沿基板邊緣顯示相對高之厚度。於表中,「僅POR(厚)」乙詞(「POR」係指「參考膜之製程-中央變厚」)係指PEALD製程僅使用低射頻功率氧電漿,直到薄膜厚度達到約20nm。「僅POR(薄)」乙詞係指PEALD製程僅使用低射頻功率氧電漿,直到薄膜厚度達到約10nm。「僅邊緣變厚」乙詞係指PEALD製程僅使用高射頻功率氧電漿,直到薄膜厚度達到約20nm。「雙層1」乙詞係指PEALD製程使用低射頻功率氧電漿,直到薄膜厚度達到約10nm,之後使用高射頻功率氧電漿,直到薄膜厚度達到約20nm。「雙層2」乙詞係指PEALD製程使用低射頻功率氧電漿,直到薄膜厚度達到約3nm,之後使用高射頻功率氧電漿,直到薄膜厚度達到約20nm。「高射頻功率」係指以3,000W射頻功率施敷1.0秒,而「低射頻功率」係指以50W射頻功率施敷0.3秒。「邊緣變厚配方」乙詞係指施敷高射頻功率,而「POR配方」乙詞係指施敷低射頻功率。「WiW均勻度[1σ%]」(「WiW」係指「晶圓之內」)乙詞係指於1%(STDEV/Ave x 100)之平面薄膜均勻度,而「WiW均勻度[全範圍%]」乙詞係指全部範圍之平面薄膜均勻度,其係以((最大值-最小值)/ 平均值x 100)評估。「厚度分佈」顯示薄膜厚度圖案之灰階影像,其係由薄膜之2D彩色圖譜分析測量。由於灰階影像未提供是否暗區代表厚區或薄區之資訊,圖2B顯示薄膜厚度圖案之彩色版影像,其係由圖2A所示薄膜之2D彩色圖譜分析測量。於影像中,紅色區域代表具相對較高厚度之區域,而藍色區域代表具相對較低厚度之區域。「示意圖案」顯示當觀察橫截面時,薄膜之示意性圖案。
如圖2A及圖2B所見,高射頻功率施敷使得薄膜圖案顯示邊緣變厚(大致上凹陷圖案),如「僅邊緣變厚」所示,而低射頻功率施敷使得薄膜圖案顯示中央變厚(大致上凸起圖案),如「僅POR(厚)」及「僅POR(薄)」所示。當結合高射頻功率施敷及低射頻功率施敷時,如「雙層1」及「雙層2」所示,薄膜圖案顯示中央變厚且邊緣變厚(例如,覆蓋一凹陷 薄膜於凸起薄膜頂部),其滿足邊緣變厚之需求。
下表6顯示各實施例中底部光阻氧化之結果。「PR寬度」乙詞係指薄膜沉積前之光阻寬度,「Depo厚度」乙詞係指沉積於光阻之薄膜厚度,且「CD」乙詞係指臨界尺寸(亦即,覆蓋薄膜之光阻寬度,即於橫截面沿水平線擷取,光阻一側壁上形成之氧化膜厚度、光阻寬度、及於光阻另一側壁上形成之氧化膜厚度之總和)。雖然高射頻功率施敷使得薄膜圖案顯示邊緣變厚,如表5之「僅邊緣變厚」所示,但相較於表6之「僅POR(厚)」及「雙層1」之CD 68nm,其CD減至61nm。這表示,當使用高射頻功率施敷時,光阻經氧化侵蝕,其係由氧電漿造成,且由於連續之離子衝擊,光阻寬度減少。相反地,當開始沉積時,使用低射頻功率施敷以形成一保護性氧化膜,該保護膜保護光阻免於氧化及離子衝擊,且沉積之氧化膜不會減少光阻寬度。當保護膜厚度變薄時(「雙層2」),CD輕微減少(CD係67nm),但該減少不明顯。「雙層1」及「雙層2」兩者顯示CD與「僅POR(厚)」的相當。
圖3係CD(臨界尺寸)與保護性氧化膜厚度間之關係顯示圖,包括表6顯示之結果。如圖3所示,當保護性氧化膜厚度為2nm、3nm、或7nm以上時,可有效抑制底層氧化。然而,當保護性氧化膜厚度為10nm以上時,底層之氧化抑制達到平線區(plateau)。因此,一旦保護性氧化膜厚度能有效抑制底層氧化,則可根據標靶氧化膜所需之薄膜圖案選擇保護性氧化膜的厚度。
可藉操縱射頻功率及射頻施敷時間控制薄膜圖案。當於相對較長時間使用較高射頻功率時,所得薄膜圖案顯示邊緣變厚(凹陷圖案),而當於相對較短時間使用較低射頻功率時,所得薄膜圖案顯示中央變厚(凸起圖案)。然而,當以高射頻功率用於凹陷圖案時,底層例如光阻係經氧化及侵蝕,其降低CD性質。另一方面,當使用低射頻功率時,即使可抑制底層氧化,仍難以取得凹陷圖案。藉使用低射頻功率施敷及高射頻功率施敷之順序,可沉積一凹陷圖案薄膜,而不會造成底層氧化,亦即不會降低CD性質。
實施例2
於下表7所示之條件下,藉PEALD於基板上沉積氧化膜,其係使用圖6所示之裝置。「低功率SiO」係指以圖4所示之X循環及低射 頻功率沉積一SiO薄膜。「高功率SiO」係指以圖4所示之X循環及高射頻功率替代低射頻功率(改良之X循環)沉積一SiO薄膜。「低功率HQ SiO」係指以圖4所示之Z循環,其包括X循環及Y循環,沉積一SiO薄膜。以所得薄膜進行濕蝕刻測試。
結果顯示於圖5。「濕蝕刻率」係指使用DHF(1:100)之濕蝕刻率。如圖5所示,當使用低射頻功率循環時(X循環),所得SiO薄膜(「低功率SiO」)不具良好濕蝕刻抗性。當使用高射頻功率循環時(改良之X循環),所得SiO薄膜(「高功率SiO」)具良好濕蝕刻抗性。令人意外地,當結合低射頻功率循環及高射頻功率非氧化循環(Z循環)使用時,所得SiO薄膜(「低功率HQ SiO」)呈現極佳濕蝕刻抗性。此外,由於重複Z循環, 其由X循環及Y循環構成,所得之SiO薄膜,預期該整個薄膜具實質上均勻之組合物,特別是在厚度方向上。亦即,Y循環並非沉積後表面處理,而為循環之一部分。
本領域之技術人員應理解到,可進行許多及各種改良而不違背本發明之精神。因此,應清楚理解到,本發明之形式僅在於說明,而未旨在侷限本發明之範疇。

Claims (17)

  1. 一種藉電漿輔助循環製程形成氧化膜之方法,包含:(i)供應一前驅物至一反應空間,其中該空間放置一基板;(ii)於第一時間段施敷一第一射頻功率至該反應空間而不供應該前驅物;以及(iii)於第二時間段施敷一第二射頻功率至該反應空間而不供應該前驅物,其中該第一射頻功率係低於該第二射頻功率,及/或該第一時間段係短於該第二時間段;其中該氧化膜係由一第一氧化層及一第二氧化層構成,其中步驟(i)及(ii)而非步驟(iii)構成一第一循環,且藉重複該第一循環,該第一氧化層係於該基板上形成,且其中步驟(i)及(iii)而非步驟(ii)構成一第二循環,且藉重複該第二循環,該第二氧化層係於該第一氧化膜之頂部形成,用於該第一及第二循環之該前驅物係相同;及其中步驟(ii)係經控制,以使該第一氧化層具一薄膜圖案,其顯示該第一氧化層之厚度,其中該第一氧化層之中央係厚於沿該第一氧化層之邊緣,且步驟(iii)係經控制,以使該第二氧化層具一薄膜圖案,其顯示該第二氧化層之厚度,其中沿該第二氧化層之邊緣係厚於該第二氧化層之中央。
  2. 如申請專利範圍第1項之方法,其中該第一循環係經重複,直到該第一氧化層具厚度約2nm或以上。
  3. 如申請專利範圍第2項之方法,其中該第一氧化層之厚度為約20nm或以下。
  4. 一種藉電漿輔助循環製程形成氧化膜之方法,包含:(i)供應一前驅物至一反應空間,其中該空間放置一基板;(ii)於第一時間段施敷一第一射頻功率至該反應空間而不供應該前驅物;以及(iii)於第二時間段施敷一第二射頻功率至該反應空間而不供應該前驅物,其中該第一射頻功率係低於該第二射頻功率,及/或該第一時間段係短於該第二時間段;其中該氧化膜係由一第一氧化層及一第二氧化層構成,其中步驟(i)及(ii)而非步驟(iii)構成一第一循環,且藉重複該第一循環,該第一氧化層係於該基板上形成,且其中步驟(i)及(iii)而非步驟(ii)構成一第二循環,且藉重複該第二循環,該第二氧化層係於該第一氧化膜之頂部形成,用於該第一及第二循環之該前驅物係相同;及其中該第二氧化層係厚於該第一氧化層,且該氧化膜具一薄膜圖案,其顯示該氧化膜之厚度,其中該氧化膜之中央及沿其之邊緣係厚於中央與邊緣間之區域。
  5. 如申請專利範圍第1或4項之方法,其中於整個步驟(i)、步驟(ii)、及步驟(iii)中連續供應一氧化性氣體至該反應室。
  6. 如申請專利範圍第1或4項之方法,其中該第一射頻功率係不大於約0.14W/cm2(基板之每單位面積瓦特數),且該第二射頻功率係不小於約0.14W/cm2且不大於約1.13W/cm2
  7. 如申請專利範圍第1或4項之方法,其中該第一氧化層及該第二氧化層係連續形成,其中該氧化膜係於厚度方向上由實質上均勻之組合物構成。
  8. 一種藉電漿輔助循環製程形成氧化膜之方法,包含:(i)供應一前驅物至一反應空間,其中該空間放置一基板;(ii)於第一時間段施敷一第一射頻功率至該反應空間而不供應該前驅物;以及(iii)於第二時間段施敷一第二射頻功率至該反應空間而不供應該前驅物,其中該第一射頻功率係低於該第二射頻功率,及/或該第一時間段係短於該第二時間段;其中該氧化膜係由多個子氧化層構成,其中步驟(i)、(ii)、及(iii)構成一循環,且藉重複該循環,該多個子氧化層係於該基板上形成,其中於步驟(ii),係供應氧化性氣體,且於步驟(iii),不供應氧化性氣體;及其中該循環係由至少一第一子循環及至少一第二子循環構成,各第一子循環係由步驟(i)及(ii)而非步驟(iii)構成,各第二子循環係由步驟(iii) 而非步驟(i)及(ii)構成。
  9. 如申請專利範圍第8項之方法,其中各第一子循環沉積一子層,其構成該氧化膜,且各第二子循環不沉積子層。
  10. 如申請專利範圍第8項之方法,其中該循環係由至少一第一子循環及至少一第二子循環構成,各第一子循環係由步驟(i)及(ii)而非步驟(iii)構成,各第二子循環係由步驟(i)及(iii)而非步驟(ii)構成。
  11. 如申請專利範圍第8項之方法,其中於第一子循環,該氧化性氣體係以流速約500sccm至約2,000sccm連續供應至該反應空間,且於第二子循環,無氧化性氣體供應至該反應空間。
  12. 如申請專利範圍第8項之方法,其中該第一射頻功率係不大於約0.14W/cm2(基板之每單位面積瓦特數),且該第二射頻功率係不小於約0.14W/cm2且不大於約1.13W/cm2
  13. 如申請專利範圍第8項之方法,其中該用於施敷射頻功率之第一時間段為約0.1秒至約1.5秒,且該用於施敷射頻功率之第二時間段係長於該第一時間段。
  14. 如申請專利範圍第8項之方法,其中該第一射頻功率及該第二射頻功 率係相同,且該用於施敷射頻功率之第一時間段係短於該用於施敷射頻功率之第二時間段。
  15. 如申請專利範圍第8項之方法,其中該子層係連續形成,其中該氧化膜係於厚度方向上由實質上均勻之組合物構成。
  16. 如申請專利範圍第8項之方法,其中步驟(i)至(iii)係於溫度約50℃至約400℃下進行,且該第一及第二射頻功率係於電極間充電,該電極相距約7mm至15mm,其間放置該基板。
  17. 如申請專利範圍第8項之方法,其中該電漿輔助循環製程為電漿增強式原子層沉積法。
TW104111910A 2014-05-02 2015-04-14 低氧化電漿輔助製程 TWI647330B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/268,348 2014-05-02
US14/268,348 US9464352B2 (en) 2014-05-02 2014-05-02 Low-oxidation plasma-assisted process

Publications (2)

Publication Number Publication Date
TW201542858A TW201542858A (zh) 2015-11-16
TWI647330B true TWI647330B (zh) 2019-01-11

Family

ID=54354840

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104111910A TWI647330B (zh) 2014-05-02 2015-04-14 低氧化電漿輔助製程

Country Status (3)

Country Link
US (1) US9464352B2 (zh)
KR (1) KR102370787B1 (zh)
TW (1) TWI647330B (zh)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015188028A (ja) * 2014-03-27 2015-10-29 東京エレクトロン株式会社 薄膜形成方法、及び、薄膜形成装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160129160A (ko) * 2015-04-29 2016-11-09 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 그 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR101702840B1 (ko) 2015-09-08 2017-02-06 주식회사 만도 유압 브레이크 시스템의 맥동 저감 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
CN107794515B (zh) * 2016-09-01 2021-06-22 Asm Ip控股有限公司 通过形成基于烃的超薄膜对层进行保护的方法
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11056345B2 (en) * 2017-12-19 2021-07-06 Asm Ip Holding B.V. Method for manufacturing semiconductor device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11542589B2 (en) * 2018-03-21 2023-01-03 Applied Materials, Inc. Resistance-area (RA) control in layers deposited in physical vapor deposition chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20190345608A1 (en) * 2018-05-08 2019-11-14 Lam Research Corporation Method of providing a plasma atomic layer deposition
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US20190378725A1 (en) * 2018-06-08 2019-12-12 Lam Research Corporation Method for transferring a pattern from an organic mask
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN111218667A (zh) * 2018-11-23 2020-06-02 北京北方华创微电子装备有限公司 一种气体分配装置的表面处理方法及沉积设备
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11296084B2 (en) * 2019-09-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition method, semiconductor device and method of fabricating the same
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022020528A1 (en) * 2020-07-23 2022-01-27 Lam Research Corporation Conformal thermal cvd with controlled film properties and high deposition rate
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070111545A1 (en) * 2005-11-16 2007-05-17 Sung-Hae Lee Methods of forming silicon dioxide layers using atomic layer deposition
US20120028454A1 (en) * 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6846711B2 (en) * 2000-03-02 2005-01-25 Tokyo Electron Limited Method of making a metal oxide capacitor, including a barrier film
US7141278B2 (en) * 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
KR100790779B1 (ko) * 2006-06-09 2008-01-02 주식회사 아이피에스 갭 필 능력을 향상시킨 절연막 증착 방법
KR101013413B1 (ko) * 2008-01-07 2011-02-14 한국과학기술연구원 플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070111545A1 (en) * 2005-11-16 2007-05-17 Sung-Hae Lee Methods of forming silicon dioxide layers using atomic layer deposition
US20120028454A1 (en) * 2010-04-15 2012-02-02 Shankar Swaminathan Plasma activated conformal dielectric film deposition

Also Published As

Publication number Publication date
KR20150126783A (ko) 2015-11-13
US20150315704A1 (en) 2015-11-05
KR102370787B1 (ko) 2022-03-07
US9464352B2 (en) 2016-10-11
TW201542858A (zh) 2015-11-16

Similar Documents

Publication Publication Date Title
TWI647330B (zh) 低氧化電漿輔助製程
US20200111669A1 (en) Method for depositing oxide film by peald using nitrogen
CN107104036B (zh) 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
JP2019029652A (ja) 負バイアスを用いてpealdによって膜を堆積する方法
CN107039265B (zh) 硬掩膜的自限性平坦化
KR100824088B1 (ko) 성막 처리 방법
JP6431557B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI781309B (zh) 被加工物之處理方法
TW201624589A (zh) 增進製程均勻性的方法及系統
TWI604525B (zh) 電漿蝕刻方法
JP2007165883A (ja) 有機シリコン酸化膜及び多層レジスト構造を形成するための方法
JP6935667B2 (ja) 成膜方法
TW201840248A (zh) 電漿處理方法及電漿處理裝置
US9418863B2 (en) Method for etching etching target layer
US20160035569A1 (en) Physical vapor deposition of low-stress nitrogen-doped tungsten films
KR101713336B1 (ko) 라이너의 제거 처리 방법
JP6389608B2 (ja) Ti膜の成膜方法
WO2007125836A1 (ja) Ti膜の成膜方法
TWI707396B (zh) 蝕刻方法
CN100564587C (zh) 形成Ti膜的成膜方法
JP7233173B2 (ja) トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
TW201903885A (zh) 含矽間隔物的選擇性形成
KR20240002703A (ko) 기판 처리 방법
JP2021190678A (ja) エッチング方法及びプラズマ処理装置
JPWO2007123212A1 (ja) Ti膜の成膜方法