KR102370787B1 - 저-산화 플라즈마-지원 공정 - Google Patents

저-산화 플라즈마-지원 공정 Download PDF

Info

Publication number
KR102370787B1
KR102370787B1 KR1020150061391A KR20150061391A KR102370787B1 KR 102370787 B1 KR102370787 B1 KR 102370787B1 KR 1020150061391 A KR1020150061391 A KR 1020150061391A KR 20150061391 A KR20150061391 A KR 20150061391A KR 102370787 B1 KR102370787 B1 KR 102370787B1
Authority
KR
South Korea
Prior art keywords
power
cycle
film
sub
oxide layer
Prior art date
Application number
KR1020150061391A
Other languages
English (en)
Other versions
KR20150126783A (ko
Inventor
류 나카노
나오키 이노우에
쿠니토시 남바
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20150126783A publication Critical patent/KR20150126783A/ko
Application granted granted Critical
Publication of KR102370787B1 publication Critical patent/KR102370787B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

플라즈마-지원 주기적 처리에 의한 산화막 형성 방법으로서, (i) 기판이 위치하는 반응 공간에 전구체를 공급하는 단계; (ii) 전구체를 공급하지 않고 제1 시간주기 동안에 상기 반응 공간에 제1 RF 전력을 인가하는 단계; 및 (iii) 전구체를 공급하지 않고 제2 시간주기 동안에 상기 반응 공간에 제2 RF 전력을 인가하는 단계를 포함하며, 상기 제1 RF 전력은 상기 제2 RF 전력보다 낮으며, 및/또는 상기 제1 시간주기는 상기 제2 시간주기보다 짧은 것다.

Description

저-산화 플라즈마-지원 공정{Low-Oxidation Plasma-Assisted Process}
본 발명은 일반적으로 플라즈마 강화 원자층 퇴적(PEALD)과 같은 플라즈마-지원 처리에 의해 금속 또는 실리콘의 산화물 막을 형성하는 방법에 관한 것이다.
PEALD 공정에서, 적용의 형태에 따라서, 하지 층(underlying layer)의 산화와 같은 해결해야 할 문제들이 있다. 전통적으로, 이 문제는 RF 전력을 낮춤으로써 처리되었다. 그러나, RF 전력이 낮아질 때에는 두께, 균일성 및 습식 식각율 등과 같은 희망하는 막 특성들이 얻어질 수 없다.
예를 들어, 도 1a에 도시된 바와 같이, 높은 RF 전력이 인가될 때 하지층(3)은 막 퇴적 동안에 산소 플라즈마, 이온 충격, 및 스퍼터링의 효과들에 기인하여 산화되기 때문에, 하지층(3)의 산화가 요구되지 않는 SiO 공정에서는 낮은 RF 전력이 요구된다. 즉, SiO 막(1)이 W, TiN 또는 다른 물질들이 포함되어 있거나, 또는 SiN 막인 상기 하지층(3) 상에 PEALD에 의해 퇴적될 때, 상기 하지층(3)을 구성하는 물질은 상기 SiO 막(1)의 퇴적을 위해 사용된 산화 가스를 포함하는 플라즈마에 의해 그의 상부 부분(2)에서 산화가 되고, 그리하여 원하는 소자 특성들이 얻어질 수 없다.
나아가, 도 1b에서 도시된 바와 같이, FinFET(SOI 기판 상에 세워진 비평면, 이중-게이트 트랜지스터)을 위한 공정과 같은 초미세 처리에 있어서, 6 nm의 높이를 갖는 Si 돌출 패턴(4)은 도 1a에서 보여지는 바와 같이 PEALD의 지배를 받는다. 높은 산화 조건들(7) 하에서 PEALD가 수행될 때, 상기 돌출 패턴(4)의 표면(5)은 SiO 막(6)이 PEALD에 의해 퇴적되는 동안에 산화되고 침식되어서 상기 돌출 패턴(4)의 크기, 특히 도 1b에서 보여지는 바와 같이, 수직 방향에서 감소된다(예를 들어, 상기 돌출 패턴(4)의 높이가 4 nm로 감소된다). 결과적으로, 상기 패턴의 디멘젼들이 변경되고, 설계된 전자적 성질들이 얻어질 수 없다. 대비적으로, 낮은 산화 조건들(8) 하에서 PEALD가 수행될 때, 상기 돌출 패턴(4)의 표면은 SiO 막(6)이 PEALD에 의해 퇴적되는 동안에 현저하게 산화되지 않음으로써 상기 돌출 패턴(4)의 크기 감소를, 특히 도 1c에서 보여지는 바와 같이, 수직 방향에서 방지할 수 있다(상기 돌출 패턴(4)의 높이가 6 nm에서 유지될 수 있다).
부가적으로, 도 1c에서 도시된 바와 같이, 상기 막 퇴적 공정 동안에 높은 RF 전력이 인가될 때 포토레지스트 디멘젼들은 산소 플라즈마, 이온 충격, 및 스퍼터링의 효과들에 기인하여 방해를 받고 감소되기 때문에, 포토레지스트 상에 막을 퇴적하는 공정에서는 낮은 RF 전력이 요구된다. 즉, 산화물(9)이 이중 패터닝 공정에서 기판(11) 상에 형성된 패턴화된 포토레지스트(10) 상에 PEALD에 의해 퇴적될 때, 하지 포토레지스트(10)의 일부(12)가 SiO 막(9)의 퇴적을 위해 사용된 산화 가스를 포함하는 플라즈마에 의해 산화되고 침식되어서, 상기 포토레지스트(10)의 원하는 디멘젼들이 얻어질 수 없다.
관련 분야에서 관여된 문제점들 및 해결책들에 대한 어떠한 논의도 단지 본 발명을 위한 맥락을 제공하기 위해서 본 개시물에 포함되어 있는 것이며, 본 논의의 어떠한 것 또는 모든 것이 본 발명이 만들어진 때에 알려진 것이라는 것을 시인하는 것이라고 취급되어서는 안 된다.
전술한 문제들 중의 적어도 하나를 해결할 수 있는 제1 실시예에서, 산화물 막은 벌크 막 및 보호 막의 조합에 의해 구성되는 다중의 층들로 구성되며, 여기서 상기 벌크 막은 RF 전력 및/또는 산화 조건들에 대한 제한을 부과하지 않고 타겟 적용을 위해 설계된 균일도, 막 프로파일, 및 막 품질을 만족시키도록 퇴적된 막이며, 상기 보호 막은 벌크 막의 퇴적에 앞서서 저 RF 전력을 사용하여 퇴적된 막이다. 전술한 문제들 중의 적어도 하나를 해결할 수 있는 제2 실시예에서, 산화물 막은 저 RF 전력을 사용하는 적어도 하나의 저-산화 사이클 및 고 RF 전력을 사용하는 적어도 하나의 비-산화 사이클을 반복함으로써 퇴적되며, 그리하여 양호한 막의 품질을 유지하며 또한 하지층의 산화를 억제한다.
상기 제1 실시예에서, 산화물 막의 퇴적의 초기에서, 보호 막이 저 RF 전력을 사용하여 개시 막으로써 형성되며, 이어서 연속적으로, 상기 막의 두께가 타겟 값에 도달할 때까지 퇴적되며, 상기 막은 타겟 적용을 위해 요구되는 막 균일도, 막 프로파일 및 막 품질을 만족시키도록 설계된다. 일부 실시예들에서, 상기 개시 막(initial film)은 300 mm 웨이퍼에 대하여 100 W 보다 적은 등과 같은 저 RF 전력에 의해 발생되는 플라즈마에 의해 퇴적되며, 반면에 타겟 막(target film)은 300 mm 웨이퍼에 대하여 100 W 내지 800 W와 같은 고 RF 전력에 의해 발생되는 플라즈마에 의해 퇴적된다. 최종 막은 상기 보호 막 및 상기 타겟 막의 조합에 의해 구성되며, 여기서 상기 보호 막의 최소 두께는 약 2 nm 이다. 일부 실시예들에서, 공정 온도는 약 50℃ 내지 약 400℃의 범위이며, 이것은 전기적으로 가열된 와이어 형태의 알루미늄 서셉터에 의해 제어가능한 범위이다. 일부 실시예들에서, 상기 전극들 사이의 거리는 약 7 mm 내지 약 15 mm의 범위이다. 제1 실시예에 따르면, 막 품질을 향상시키고 그리고 하지막의 산화를 억제하는 것이 모두 달성될 수 있다. 나아가, 저 산화 처리가 수행되더라도 생산성의 감소가 최소화될 수 있다.
상기 제2 실시예에서, 막은 저-전력, 저-산화 사이클 및 고-전력, 고-산화 사이클로 구성된 PEALD 사이클들을 반복함으로써 퇴적되며, 따라서 원하는 막 품질을 얻을 수 있으며, 그리고 하지 막의 산화를 억제할 수 있다. 일부 실시예들에서, 산소를 포함하는 가스 시스템을 사용하는 제1 플라즈마 처리 단계 및 산소를 포함하는 않는 가스 시스템을 사용하은 제2 플라즈마 처리 단계가 특별한 조건들 하에서 수행된다. 일부 실시예들에서, 상기 제1 단계에서 산화제의 유량은 반응 챔버당 약 500 sccm 내지 2,000 sccm이며, 반면에 제2 단계에서는 산화 가스가 공급되지 않는다. 일부 실시예들에서, 처리 온도는 약 50℃ 내지 약 400℃의 범위이며, 이것은 전기적으로 가열된 와이어 형태의 알루미늄 서셉터에 의해 제어가능한 범위이다. 일부 실시예들에서, 처리 압력은 낮은 라디오-주파수 전력에서도 플라즈마의 개시를 촉진하여 양호한 퍼지 효율이 되도록 약 200 Pa 내지 약 500 Pa의 범위이다. 상기 제1 단계에서의 RF 전력은 하지 막의 산화를 억제하기 위해 300 mm 웨이퍼에 대하여 약 30 W 내지 약 100 W 만큼 낮으며, 상기 제2 단계에서의 RF 전력은 300 mm 웨이퍼에 대하여 약 100 W 내지 약 800 W의 범위이며, 제2 단계에서는 산화 가스가 포함되지 않기 때문에 이것은 제1 단계에서의 범위보다 더 크다. 일부 실시예들에서, 전극들 간의 거리는 약 7 mm 내지 약 15 mm의 범위이다. 일부 실시예들에서, 사이클당 RF 전력의 인가 기간은 타겟 막 품질에 따라 변하며, 이것은 상기 제1 단계에서는 약 0.1초 내지 약 1.5초 범위 내이며, 반면에 이것은 적어도 0.1초이다. 제2 실시예에 따르면, 높은 막 품질을 유지하고 하지 막의 산화를 억제하는 것이 모두 달성될 수 있다. 나아가, 포스트 처리(즉, 표면 처리)와 같지 않게, 상기 표면 뿐만 아니라 깊은 내측 부분들도 상기 제1 및 제2 단계들을 교대로 반복함으로써 두께 방향에서 균일하게 높은 막 품질을 유지할 수 있다. 부가적으로, 상기 막 품질은 상기 제1 및 제2 단계들의 사이클수의 비 및/또는 상기 제1 및 제2 단계들을 통하여 RF 전력을 변경함이 없이 상기 제1 단계 및 제2 단계에서 RF 전력의 인가 기간의 비를 변경함으로써 제어될 수 있다.
본 발명의 태양들 및 종래 기술을 넘는 장점들을 요약하려는 목적들을 위해 본 발명의 어떠한 목적들 및 장점들이 본 명세서에 기술된다. 물론, 반드시 모든 이러한 목적들 및 장점들이 본 발명의 어떠한 특정한 실시예에 따라 달성될 필요가 없다는 것은 이해되어야 할 것이다. 따라서 예를 들어, 통상의 기술자들은 본 발명이 본 명세서에서 교시되거나 제시된 것과 다른 목적들 및 장점들을 필연적으로 달성하지 않고도 본 명세서에 교시된 하나의 장점 또는 장점들의 그룹을 달성하고 또는 최적화하는 방식으로 실시되거나 수행될 수 있다는 것을 인식할 수 있을 것이다.
본 발명의 추가의 태양들, 피쳐들 및 장점들이 후속되는 상세한 설명으로부터 명확해질 것이다.
본 특허 또는 출원 파일은 적어도 하나의 칼러로 실행된 도면을 포함한다. 칼러 도면(들)을 갖는 본 특허 또는 특허출원 공개의 복사들이 신청 및 필요한 수수료의 납부에 따라 특허청(Office)에 의해 제공될 것이다.
본 발명의 이들 및 다른 피쳐들이 본 발명을 제한하려는 의도가 아니라 예시하기 위한 의도인 바람직한 실시예들의 도면들을 참조하여 설명될 것이다. 상기 도면들은 예시적인 목적들을 위해 매우 단순화되었으며, 반드시 스케일링될 필요는 없다.
도 1a는 SiO 공정을 보여주는, 부분적으로 제조된 집적회로의 개략적 단면도이다.
도 1b는 FinFET(SOI 기판 상에 세워진 비평면, 이중-게이트 트랜지스터)를 위한 공정과 같은 초미세 처리를 보여주는 (a),(b),(c)로 구성된다.
도 1c는 포토레지스트 상에 막을 퇴적하는 공정을 보여주는, 부분적으로 제조된 집적회로의 개략적인 단면도이다.
도 2a는 플라즈마 강화 원자층 퇴적(PEALD)를 위한 "에지 씩(Edge Thick)" 레시피에서의 사이클들의 수 및 POR 레시피에서의 사이클들의 수가 변경된 예들의 결과들을 보여준다.
도 2b는 도 2a에서 보여진 막들의 2차원(2D) 칼러 맵 분석에 의한 박막 두께 프로파일 측정의 이미지들의 칼러 버전들을 보여준다.
도 3은 본 발명의 실시예들에 따른 보호 산화물 막의 임계치수(CD)와 두께의 관계를 보여주는 그래프이다.
도 4는 본 발명의 실시예에 따른 X 사이클들, Y 사이클들 및 Z 사이클들에 의해 구성된 시퀀스를 도시한다.
도 5는 예들에서 얻어진 막들의 습식 식각율ㄷ르을 보여주는 그래프이다.
도 6은 본 발명의 실시예에서 사용가능한, 산화물 막을 퇴적하기 위한 PEALD 장치의 개략적인 도면이다.
본 명세서에서, "가스"는 기화된 고체 및/또는 액체를 포함할 수 있으며, 단일 가스 또는 가스들의 혼합물로 구성될 수 있다. 유사하게, 부정관사 "a" 또는 "an"은 종들(species) 또는 다중 종들을 포함하는 속(genus)을 지칭한다. 본 명세서에서 샤워헤드를 통하여 반응 챔버로 유입된 공정 가스는 금속-함유 또는 실리콘-함유 전구체 및 반응물 가스를 포함하거나, 본질적으로 구성되거나, 또는 구성될 수 있다. 상기 반응물 가스는 RF 전력이 상기 반응물 가스에 인가될 때 상기 전구체를 산화시키기 위한 가스를 포함한다. 상기 전구체 및 상기 반응물 가스는 반응 공간으로 분리되어 유입될 수 있다. 상기 전구체는 희가스(rare gas)와 같은 캐리어 가스와 함께 도입될 수 있다. 상기 공정 가스와 다른 가스, 즉 상기 샤워헤드를 통하여 통과되지 않고 도입된 가스가 예를 들어, 상기 반응 공간을 씨일링(sealing)하기 위해 사용될 수 있으며, 이것은 희가스와 같은 씨일 가스를 포함한다. 일부 실시예들에서, "막(film)"은 전체 타겟 또는 관심되는 표면을 피복하기 위해 본질적으로 핀홀들(pinholes)이 없이 두께 방향에 수직하는 방향으로 연속적으로 연장되는 층을 지칭한다. 일부 실시예들에, "층(layer)"은 표면 또는 막의 유사어 또는 비막(non-film) 구조 상에 형성된 어떤 두께를 갖는 구조를 지칭한다. 막 또는 층은 불연속적인(discrete) 단일 막 또는 어떠한 특성을 갖는 층 또는 다중 막들 또는 층들에 의해 구성될 수 있으며, 인접한 막들 또는 층들 사이의 경계는 명확하지 않을 수 있으며, 물리적, 화학적 및/또는 다른 특성들, 형성 공정들 또는 시퀀스들, 및/또는 인접한 막들 또는 층들의 기능들 또는 목적들에 기초하여 확립될 수 있다. 상기 용어 "~에 의해 구성된(constituted by)"는 일부 실시예들에서 "포함하는(comprising)", "본질적으로 구성되는(consisting essentially of)", 또는 "구성된(consisting of)"를 지칭한다. 나아가, 본 명세서에서, 실행가능한 범위가 정례적인 작업에 기초하여 결정될 수 있기 때문에 어떠한 2개의 변수는 상기 변수의 실행가능한 범위를 구성할 수 있으며, 표시된 어떠한 범위들은 종점들을 포함하거나 또는 포함하지 않을 수 있다. 부가적으로, 표시된 변수들의 어떠한 값들(그들이 "약(about)"으로 표시되거나 그렇지 않은 것에 상관없이)은 정확한 값들 또는 근접한 값들을 지칭할 수 있으며, 등가물을 포함할 수 있으며, 일부 실시예들에서 평균, 중앙값, 대표, 다수 등을 지칭할 수 있다.
조건들 및/또는 구조들이 상세하지 않은 본 명세서에서, 통상의 기술자는 정례적인 실험의 문제로서, 본 명세서의 견지에서 그러한 조건들 및/또는 구조들을 용이하게 제공할 수 있다.
모든 개시된 실시예들에서, 실시예에 사용된 어떠한 구성요소도 의도하는 목적들을 위해 본 명세서에 명확하게, 필수적으로 또는 내재적으로 개시된 것들을 포함하여 그것에 등가적인 어떠한 구성요소들로 대체될 있다. 나아가, 본 발명은 장치들 및 방법들에 대하여 동일하게 적용될 수 있다.
본 명세서에서, 어떠한 정의된 의미들이 일부 실시예들에서 일상적인 그리고 관례적인 의미들을 반드시 배제하는 것은 아니다.
일부 실시예들에서, 플라즈마-지원 주기적 처리에 의한 산화물 막 형성 방법은, (i) 기판이 위치하는 반응 공간으로 전구체를 공급하는 단계; (ii) 전구체를 공급하지 않고 제1 시간주기 동안에 상기 반응 공간에 제1 RF 전력을 인가하는 단계; (iii) 전구체를 공급하지 않고 제2 시간주기 동안에 상기 반응 공간에 제2 RF 전력을 인가하는 단계;를 포함하며, 상기 제1 RF 전력은 상기 제2 RF 전력보다 낮으며, 및/또는 상기 제1 시간주기는 상기 제2 시간주기보다 짧다. 일부 실시예들에서, 상기 산화물 막은 두께 방향에서 실질적으로 균일한 조성들을 갖는 막이며, 조성들의 균일성 및/또는 연속성에 기초한 단일 막이라고 생각될 수 있다. 나아가, 상기 "산화물 막(oxide film)"은 M-O 결합들(M은 금속 또는 실리콘)에 의해 특징되는, M-O 결합들에 의해 주로 또는 대부분 구성되는, Mi-O 막들로 분류되는, 및/또는 M-O 결합들에 의해 실질적으로 구성되는 주 골격을 갖는 막을 지칭할 수 있다. 유기아미노실란(organoaminosilane)과 같은 탄화수소를 갖는 전구체가 사용될 때, 상기 산화물 막은 상기 전구체로부터 유도되는 탄소들을 포함할 수 있다. 일부 실시예들에서, 상기 산화물 막은 미량 원소로서 C, H, 및/또는 N을 함유할 수 있다.
일부 실시예들에서, 상기 산화물 막은 제1 산화물 층 및 제2 산화물 층으로 구성되며, 여기서 단계 (iii) 없이 단계 (i) 및 단계 (ii)가 제1 사이클을 구성하며, 상기 제1 사이클을 반복함으로써 상기 제1 산화물 층이 상기 기판 상에 형성되며, 단계 (ii) 없이 단계 (i) 및 단계 (iii)이 제2 사이클을 구성하며, 상기 제2 사이클을 반복함으로써 상기 제2 산화물 층이 상기 제1 산화물 층의 상부 상에 형성되며, 상기 제1 및 제2 사이클들에서 사용된 전구체들은 동일하다. 일부 실시예들에서, 제1 산화물 층 및 제2 산화물 층은 연속적으로 형성되며, 여기서 상기 산화물 막은 두께 방향에서 실질적으로 균일한 조성들로 구성된다. 본 명세서서에, 상기 제1 산화물 층은 "보호층(protective layer)" 또는 "개시층(initial layer)"으로서 지칭될 수도 있으며, 상기 제2 산화물 층은 "타겟 산화물층(target oxide layer)"으로써 지칭될 수도 있다.
일부 실시예들에서, 단계 (ii)는 상기 제1 산화물 층이 상기 제1 산화물 층의 주변을 따라서 보다도 그 중심에서 더 두꺼운 두께를 보여주는 막 프로파일을 갖도록 제어되며, 단계 (iii)은 상기 제2 산화물 층이 상기 제2 산화물 층의 중심에서 보다도 그 주변을 따라 더 두꺼운 두께를 보여주는 막 프로파일을 갖도록 제어된다. 상기 제1 산화물 층은 상기 단계 (iii)에서 보다도 단계 (ii)에서 더 낮은 RF 전력 및/또는 더 짧은 RF 전력의 인가를 사용하여 퇴적되기 때문에, 상기 하지막의 산화가 효과적으로 억제되며, 상기 제1 산화물 층은 상기 제2 산화물 층이 퇴적될 때 상기 하지막을 산화되는 것으로부터 보호한다. 따라서, 상기 제1 산화물 층은 보호층으로서 기능한다. 나아가, 상기 제1 산화물 층은 단계 (iii)에서 보다도 단계 (ii)에서 더 낮은 RF 전력 및/또는 더 짧은 RF 전력의 인가를 사용하여 퇴적되며, 상기 제1 산화물 층의 막 프로파일은 두꺼운 중심과 얇은 주변, 즉 볼록한 단면을 보여주는 경향이 있으며, 반면에 상기 제2 산화물 층의 막 프로파일은 두꺼운 주변과 얇은 중심, 즉 오목한 단면을 보여주는 경향이 있다. 일부 실시예들에서, 상기 제2 산화물 층은 상기 제1 산화물 층보다 두꺼우며, 상기 산화물 막은 상기 중심과 상기 주변 사이의 영역에서 보다도 상기 산화물 막의 중심에서 그리고 주변을 따라 더 두꺼운 두께를 보여주는 막 프로파일을 갖는다. 상기 제1 및 제2 산화물 층들의 두께를 선택함으로써, 상기 타겟 산화물 막의 막 프로파일이 조정될 수 있다. 본 명세서에서는, 막 또는 층의 두께는 무작위로 선택된 상기 막 또는 층의 다중의 지점들에서 상기 막 또는 층의 두께를 측정함으로써 결정된 상기 막 또는 층의 평균 두께를 지칭한다.
일부 실시예들에서, 상기 제1 사이클은 상기 제1 산화물 층이 약 2 nm 이상의 두께(예를 들어, 3 nm, 5 nm, 7 nm, 10 nm, 15 nm, 20 nm, 30 nm 또는 앞의 숫자들의 어떠한 것들 사이의 값)를 가질 때까지 반복된다. 일부 실시예들에서, 상기 제1 산화물 층의 두께는 약 20 nm 이하이다. 상기 제1 산화물 층이 전술한 두께를 가질 때에 그것은 보호층(상기 하지막이 산화되는 것으로부터 보호)으로서 효과적으로 기능한다.
일부 실시예들에서, 산화 가스가 단계 (i), 단계 (ii), 및 단계 (iii)을 통하여 상기 반응 챔버로 연속적으로 공급된다. 상기 단계들이 연속적으로 수행될 때에는 양호한 연속성을 유지하면서 상기 제1 및 제2 산화물 층들 사이에 아무런 인터페이스가 형성되지 않는다. 일부 실시예들에서, 상기 제1 산화물 층이 형성된 후 그리고 상기 제2 산화물 층이 형성되기 전에, 상기 제1 산화물 층이 대기에 노출될 수 있다. 그 경우에는 상기 제1 및 제2 산화물 층들 사이에 인터페이스가 검출될 수 있다. 그러나, 검출된 수준이 중요하지 않고 매우 약하며, 상기 제1 및 제2 산화물 층들로 구성되는 상기 산화물 막은 단일 막이라고 생각될 수 있다. 나아가, 생산성이 향상된다. 본 명세서에서, "연속적으로(continuously)"는 진공 중단없이, 시간에서의 중단없이, 처리 조건들의 변경없이, 그후 즉시 다음 단계로써, 또는 일부 실시예들에서 두 구조들 사이에서 불연속적인 물리적 또는 화학적 경계없이 라고 지칭된다.
일부 실시예에서, 상기 제1 RF 전력은 약 0.14 W/㎠(기판의 단위 면적당 와트)(예를 들어, 0.15 W/㎠, 0.07 W/㎠, 0.10 W/㎠ 또는 앞의 수치들 중의 어느 것들 사이의 값)보다 크지 않으며, 상기 제2 RF 전력은 약 0.14 W/㎠보다 작지 않으며 약 1.13 W/㎠보다 크지 않다(예를 들어, 0.20 W/㎠, 0.50 W/㎠, 1.00 W/㎠ 또는 앞의 수치들 중의 어느 것들 사이의 값). 일부 실시예들에서, 전술한 것에 부가하여 또는 대안적으로, RF 전력을 인가하는 상기 제1 시간주기는 약 0.1초 내지 약 1.5초(예를 들어, 0.2초, 0.5초, 1.0초, 또는 앞의 수치들 중의 어느 것들 사이의 값)이며, RF 전력을 인가하는 상기 제2 시간주기는 상기 제1 시간주기보다 길다. 일부 실시예들에서, 상기 제1 RF 전력 및 상기 제2 RF 전력은 동일하며, RF 전력을 인가하는 상기 제1 시간주기는 RF 전력을 인가하는 상기 제2 시간주기보다 짧다. 일부 실시예들에서, 상기 제1 RF 전력은 상기 제2 RF 전력보다 낮으며, RF 전력을 인가하는 상기 제1 시간주기는 RF 전력을 인가하는 상기 제2 시간주기와 동일하다. 바람직하게는, RF 전력 및 인가 시간 양자 모두는 상기 하지층의 산화를 효과적으로 억제하고, 원하는 최종 산화물 막을 얻기 위해 조절될 수 있다.
SiO막을 위한 전구체로서, 예를 들어 비스(디에틸아미노)실란 (BDEAS 또는 SAM24), 테트라키스(이메틸아미노)실란 (4DMAS), 트리스(디메틸아미노)실란 (3DMAS), 비스(디메틸아미노)실란 (2DMAS), 테트라키스(에틸메틸아미노)실란 (4EMAS), 트리스(에틸메틸아미노)실란 (3EMAS), 비스(터셔리-부틸아미노)실란 (BTBAS), 및 비스(에틸메틸아미노)실란 (BEMAS)를 포함하는 유기아미노실란들 (organoaminosilanes)이 개별적으로 또는 둘 이상의 어떠한 조합으로 사용될 수 있다. 일부 실시예들에서, 상기 전구체는 본질적으로 앞의 화합물들의 어떠한 것으로 구성된다. 상기 용어 "본질적으로~ 구성된(consisting essentially of)"은 법률과 규정에 의해 허용된 전체 범위에 대해 사용된다.
일부 실시예들에서, 상기 플라즈마는 희가스와 함께 또는 희가스 없이 O2, CxOy, 및/또는 NxOy를 사용하여 발생된다. CxOy로서, CO, C2O, CO2, C3O2, CO3, 및 C5O2가 개별적으로 또는 둘 이상의 어떠한 조합으로 사용될 수 있다. NxOy로서, NO, N2O, NO2, N2O3, N2O4, 및 N2O5가 개별적으로 또는 둘 이상의 어떠한 조합으로 사용될 수 있다.
캐리어 가스, 씨일 가스, 퍼지 가스, 또는 공정-조정 가스(보조 가스)로서, Ar, He, Ne, Kr, 및 Xe와 같은 희가스가 개별적으로 또는 둘 이상의 어떠한 조합으로 사용될 수 있다.
일부 실시예들에서, 단계 (i) 내지 (iii)가 약 50℃ 내지 약 400℃의 온도에서 수행되며, 상기 제1 및 제2 RF 전력들은 상기 기판이 위치하는 사이에서, 약 7 mm 내지 15 mm 만큼 떨어져 있는 전극들 사이에서 하전된다. 일부 실시예들에서, 상기 플라즈마-지원 주기적 처리는 플라즈마-강화 원자층 퇴적(PEALD)이다.
일부 실시예들에서, 상기 제1 산화물 층은 비록 조건들이 산화물 막의 형태에 따라서 변화되지만, 다음 조건들 하에서 퇴적될 수 있다:
(수치들은 근사치임)
바람직함(Preferably) 전형적임(Typically)
전구체 BDEAS
캐리어 가스에서의 전구체 부분압 2% - 20% 5 - 10%
캐리어 가스 Ar, N2, He Ar
캐리어 가스의 유량(연속적) 500 sccm - 4000 sccm 2000 sccm
반응물 가스 O2, CO2, N2O O2
반응물 가스의 유량(연속적) 500 sccm - 6000 sccm 1000 sccm - 2000 sccm
희석 가스 Ar, N2, He Ar, He
희석 가스의 유량(연속적) 0 sccm - 4000 sccm 2000 sccm
서셉터 온도 50℃- 400℃ 75℃-300℃
압력 200 Pa - 600 Pa 400 Pa
RF 전력(13.56 MHz) 10 W 내지 100 W(300-mm 툴)
(적어도 30W에서,안정된 개시를 위해)
50 W
전구체 공급 시간 0.1초 - 1.0초 0.2초
전구체 펄스 후 퍼지 시간 0.1초 - 2.0초 0.3초
RF 플라즈마 여기 시간 0.1초 - 1.0초 0.2초
RF 인가 후 퍼지 시간 0.1초 - 0.5초 0.1초
사이클들의 수 타겟 두께에 의존
막의 두께 2nm-50nm(상기 인가, 타겟 성질에 의존) 30 nm
면내 균일도(볼록 프로파일)
(1σ%)
0.2 - 1.0
일부 실시예들에서, 상기 제2 산화물 층은 비록 조건들이 산화물 막의 형태에 따라서 변화되지만, 다음 조건들 하에서 퇴적될 수 있다:
(수치들은 근사치임)
바람직함(Preferably) 전형적임(Typically)
전구체 BDEAS
캐리어 가스에서의 전구체 부분압 2% - 20% 5 - 10%
캐리어 가스 Ar, N2, He Ar
캐리어 가스의 유량(연속적) 500 sccm - 4000 sccm 2000 sccm
반응물 가스 O2, CO2, N2O O2
반응물 가스의 유량(연속적) 500 sccm - 6000 sccm 1000 sccm - 2000 sccm
희석 가스 Ar, N2, He Ar, He
희석 가스의 유량(연속적) 0 sccm - 4000 sccm 2000 sccm
서셉터 온도 50℃- 400℃ 75℃-300℃
압력 200 Pa - 600 Pa 400 Pa
RF 전력(13.56 MHz) 100 W 내지 800 W(300-mm 툴) 500 W
전구체 공급 시간 0.1초 - 1.0초 0.2초
전구체 펄스 후 퍼지 시간 0.1초 - 2.0초 0.3초
RF 플라즈마 여기 시간 0.1초 - 5.0초 1.0초
RF 인가 후 퍼지 시간 0.1초 - 0.5초 0.1초
사이클들의 수 타겟 두께에 의존
막의 두께 타겟 두께에 의존
면내 균일도(볼록 프로파일)
(1σ%)
0.2 - 1.0
일부 실시예들에서, 상기 제1 산화물 층 및 상기 제2 산화물 층은 단지 RF 전력 인가를 변경함으로써 연속적으로 형성될 수 있다. 다른 실시예들에서, 상기 제1 산화물 층 및 상기 제2 산화물 층은, 상기 가스 흐름이 점진적으로 그리고 연속적으로 변경될 수 있는 과도 기간을 가지면서, RF 전력 인가 뿐만 아니라 가스 공급 조건들을 변경함으로써 연속적으로 형성될 수 있다.
다른 실시예들에서, 상기 산화물 막은 다중의 서브-산화물 층들로 구성되며, 여기서 단계 (i), (ii), 및 (iii)는 하나의 사이클을 구성하며, 상기 사이클을 반복함으로써 다중의 서브-산화물 층들이 상기 기판 상에 형성되며, 여기서 단계 (ii)에서 산화 가스가 공급되며, 단계 (iii)에서 산화 가스가 공급되지 않는다. 전술한 실시예들에서, 상기 보호층의 위치에서, 다중의 서브-산화물 층들을 사용함으로써, 상기 하지층의 산화가 효과적으로 억제될 수 있으며, 여기서 각 서브-층이 RF 전력이 낮고 및/또는 RF 전력 인가의 기간이 짧은 저-산화 조건들 하에서 퇴적되며, RF 전력이 높고 및/또는 RF 전력의 기간이 긴 비산소 플라즈마 처리 사이클이 뒤따른다. 상기 다중의 서브-산화물 층들을 위한 상기 퇴적 조건들 및 플라즈마 처리 조건들은, 아래에서 특별히 기술한 조건들을 제외하고, 이전에 기술된 상기 보호층 및 상기 타겟층을 위한 조건들과 실질적으로 유사하거나 또는 동일할 수 있다.
일부 실시예들에서, 타겟 산화물 막을 형성하기 위한 사이클(또한, "Z 사이클"로서 지칭되는)은 적어도 하나의 제1 서브-사이클(또한, "X 사이클"로서 지칭되는) 및 적어도 하나의 제2 서브-사이클(또한, "Y 사이클"로서 지칭되는)로 구성되며, 각각의 제1 서브-사이클은 단계 (iii) 없이 단계 (i) 및 (ii)에 의해 구성되며, 각각의 제2 서브-사이클은 단계 (i) 및 (ii) 없이 단계 (iii)로 구성될 수 있다. 일부 실시예들에서, 각각의 제1 서브-사이클은 상기 산화물 막을 구성하는 서브-층을 퇴적하며, 각각의 제2 서브-사이클은 서브-층을 퇴적하지 않는다. 앞에서, 상기 제2 서브-사이클은 어떠한 막 또는 층을 퇴적하지 않는 비산소(non-oxygen) 플라즈마 표면 처리이다. 상기 제2 서브-사이클에서, 아무런 전구체도 상기 반응 공간으로 공급되지 않으며, 상기 사이클은 효과적으로 상기 서브-층을 치밀화 할 수 있으며, 상기 막 특성들을 향상시킬 수 있는 표면 플라즈마 처리 기능을 한다. 상기 제2 서브-사이클에 기인한 치밀화의 결과로써, 상기 서브-층의 두께가 감소된다.
일부 실시예들에서, 상기 사이클(Z 사이클)은 적어도 하나의 제1 서브-사이클(X 사이클) 및 적어도 하나의 제2 서브-사이클(Y 사이클)로 구성되며, 각각의 제1 서브-사이클은 단계 (iii) 없이 단계 (i) 및 (ii)에 의해 구성되며, 각각의 제2 서브-사이클은 단계 (ii) 없이 단계 (i) 및 (iii)로 구성될 수 있다. 앞의 실시예들에서, 상기 제2 서브-사이클은 전구체를 사용하기 때문에, 상기 제1 서브-사이클에 의해 형성된 상기 서브-층의 치밀화가 충분히 진행되지 않을 수 있으며, 막 특성들이 향상되지 않을 수 있다. 그러나, 막 성장율이 높고, 생산성이 향상된다. 따라서, 원하는 막 특성들 및 생산성에 의존하여, 전구체가 상기 제2 서브-사이클에서 사용될 수 있다.
Z 사이클들의 수, X 사이클들의 수, 및 Y 사이클들의 수가 원하는 막 특성들 및 응용에 의존하여 선택된다. 일부 실시예들에서, Z 사이클당 얻어진 층의 두께는 X 사이클들의 수에 의존하여 약 0.05 nm 내지 약 2.0 nm이다. 일부 실시예들에서, X 사이클들의 수 및 Y 사이클들의 수는, 상기 산화물 막의 의도하는 응용 및 목적을 위해 두께 방향으로 상기 막 특성들을 변경하기 위해 둘 이상의 층들을 형성하면서, Z 사이클당 변경될 수 있다. 일부 실시예들에서, 상기 산화물 막은 두꺼운 주변 및 얇은 중심(즉, 오목한 단면)을 보여주는 막 프로파일을 갖는다.
일부 실시예들에서, 상기 제1 서브-사이클에서, 상기 산화 가스가 약 500 sccm 내지 약 2,000 sccm의 유량(예를 들어, 750 sccm, 1,000 sccm, 1,500 sccm 및 앞의 수치들 중의 어느 것들 사이의 값)으로 상기 반응 공간으로 연속적으로 공급되며, 상기 제2 서브-사이클에서, 아무런 산화 가스가 상기 반응 공간으로 공급되지 않는다.
일부 실시예들에서, 상기 제1 RF 전력은 약 0.14 W/㎠(기판의 단위 면적당 와트)(예를 들어, 0.05 W/㎠, 0.07 W/㎠, 0.10 W/㎠ 또는 앞의 수치들 중의 어느 것들 사이의 값)보다 크지 않으며, 상기 제2 RF 전력은 약 0.14 W/㎠보다 작지 않으며 약 1.13 W/㎠보다 크지 않다(예를 들어, 0.20 W/㎠, 0.50 W/㎠, 1.00 W/㎠ 또는 앞의 수치들 중의 어느 것들 사이의 값). 일부 실시예들에서, 전술한 것에 부가하여 또는 대안적으로, RF 전력을 인가하는 상기 제1 시간주기는 약 0.1초 내지 약 1.5초(예를 들어, 0.2초, 0.5초, 1.0초, 또는 앞의 수치들 중의 어느 것들 사이의 값)이며, RF 전력을 인가하는 상기 제2 시간주기는 상기 제1 시간주기보다 길다. 일부 실시예들에서, 상기 제1 RF 전력 및 상기 제2 RF 전력은 동일하며, RF 전력을 인가하는 상기 제1 시간주기는 RF 전력을 인가하는 상기 제2 시간주기보다 짧다. 일부 실시예들에서, 상기 제1 RF 전력은 상기 제2 RF 전력보다 낮으며, RF 전력을 인가하는 상기 제1 시간주기는 RF 전력을 인가하는 상기 제2 시간주기와 동일하다. 바람직하게는, RF 전력 및 인가 시간 양자 모두는 상기 하지층의 산화를 효과적으로 억제하고, 원하는 최종 산화물 막을 얻기 위해 조절될 수 있다.
일부 실시예들에서, 상기 서브-층들이 연속적으로 형성되며, 여기서 상기 산화물 막은 두께 방향으로 실질적으로 균일한 조성들로 구성된다. 상기 제1 및 제2 서브-사이클들은 반복되기 때문에, 상기 산화물 막을 구성하는 조성들의 균일성 및 연속성은 두께 방향으로 유지될 수 있다.
일부 실시예들에서, 상기 제1 서브-사이클(X 사이클)은 비록 조건들이 산화물 막의 형태에 따라서 변화되지만, 다음 조건들 하에서 수행될 수 있다:
(수치들은 근사치임)
바람직함(Preferably) 전형적임(Typically)
캐리어 가스에서의 전구체 부분압 2% - 20% 5 - 10%
캐리어 가스의 유량(연속적) 500 sccm - 4000 sccm 2000 sccm
반응물 가스의 유량(연속적) 500 sccm - 6000 sccm 1000 sccm - 2000 sccm
희석 가스의 유량(연속적) 0 sccm - 4000 sccm 2000 sccm
서셉터 온도 50℃- 400℃ 75℃-300℃
압력 200 Pa - 600 Pa 400 Pa
RF 전력(13.56 MHz) 10 W 내지 100 W(300-mm 툴)
(적어도 30W에서,안정된 개시를 위해)
50 W
전구체 공급 시간 0.1초 - 1.0초 0.2초
전구체 펄스 후 퍼지 시간 0.1초 - 2.0초 0.3초
RF 플라즈마 여기 시간 0.1초 - 1.0초 0.2초 이하
RF 인가 후 퍼지 시간 0.1초 - 0.5초 0.1초
일부 실시예들에서, 상기 제2 서브-사이클(Y 사이클)은 비록 조건들이 산화물 막의 형태에 따라서 변화되지만, 다음 조건들 하에서 퇴적될 수 있다:
(수치들은 근사치임)
바람직함(Preferably) 전형적임(Typically)
캐리어 가스에서의 전구체 부분압 2% - 20% 5 - 10%
캐리어 가스의 유량(연속적) 500 sccm - 4000 sccm 2000 sccm
반응물 가스의 유량(연속적) N/A N/A
희석 가스의 유량(연속적) 0 sccm - 4000 sccm 3000 sccm
서셉터 온도 50℃- 400℃ 75℃-300℃
압력 200 Pa - 600 Pa 400 Pa
RF 전력(13.56 MHz) 10 W 내지 100 W(300-mm 툴) 500 W
전구체 공급 시간 0.1초 - 1.0초 0.2초
전구체 펄스 후 퍼지 시간 0.1초 - 2.0초 0.3초
RF 플라즈마 여기 시간 0.1초 - 5.0초 0.2초 이상
RF 인가 후 퍼지 시간 0.1초 - 0.5초(*) 0.1초
앞에서, 상기 심볼 *는 상기 제2 서브-사이클로부터 상기 제1 서브-사이클로 전환하기 위한 과도 기간이 퍼지의 메카니즘으로서 필연적으로 기능한다는 것을 표시한다.
일부 실시예들에서, 상기 제1 서브-사이클 및 상기 제2 서브-사이클은 RF 전력 인가 및 희석 가스 흐름 만을 변경함으로써 연속적으로 수행될 수 있다. 다른 실시예들에서, 상기 제1 서브-사이클 및 상기 제2 서브-사이클은 RF 전력 인가 및 희석 가스 흐름 뿐만 아니라 다른 가스 흐름을 변경함으로써 연속적으로 수행될 수 있다.
도 4는 본 발명의 실시예에 따라 X 사이클들, Y 사이클들 및 Z 사이클들에 의해 구성된 시퀀스를 도시한다. 본 실시예에서, Y 사이클들에서 아무런 전구체가 공급되지 않고, 아무런 산화 가스가 공급되지 않지만, 보다 높은 RF 전력이 X 사이클들에서 보다 긴 시간주기 동안 인가된다. 상기 공정 조건들이 X 사이클들과 Y 사이클들 사이에서 다르기 때문에, 그들 사이에 과도 기간들이 있으며, 이것은 전형적으로 0.1초 또는 0.2초이다. 일부 실시예들에서, O2가 상기 전구체의 펄스들과 중첩하지 않는 X 사이클들에서의 펄스들에서 공급될 수 있다. 일부 실시예들에서, 상기 플라즈마는, 상기 반응 공간에 RF 전력을 인가함으로써 상기 반응 공간 내에서 발생된다(인-시튜 플라즈마). 일부 실시예들에서, 원격 플라즈마 유닛이 상기 반응물 가스를 여기하기(exciting) 위해서 그리고 상기 반응 공간에 플라즈마를 공급하기 위해 사용될 수 있다.
도 6은 본 발명의 일부 실시예들에서 사용가능하며, 바람직하게는 본 명세서에 기술된 시퀀스들을 수행하도록 프로그램된 제어들과 함께 PEALD 장치의 개략도이다. 본 도면에서, 한 쌍의 전기적 도전성의 평판 전극들(44,42)을 평행하게 제공하고, 반응 챔버(43)의 내부(51)에서 서로 마주보게 하며, 일측에 HRF 전력(13.56 MHz 또는 27 MHz)(45) 및 5 MHz 이하의 LRF 전력(400 kHz ~ 500 kHz, 필요에 따라)(90)을 인가하고, 타측을 전기적으로 접지(52)함으로써, 플라즈마가 상기 전극들 사이에서 여기된다. 온도 조절기가 하부 스테이지(42)(상기 하부 전극)에 제공되며, 그 위에 위치하는 기판(41)의 온도는 주어진 온도에서 일정하게 유지된다. 상기 상부 전극(44)은 또한 샤워 플레이트로서 역할을 하며, 반응 가스 및 희가스가 가스 흐름 제어기(63), 펄스 흐름 제어밸브들(71-73), 및 상기 샤워 플레이트를 통하여 상기 반응 챔버(43) 내로 도입된다. 본 실시예에서, 전구체가 히터가 구비된 병(74) 내에 액체로서 저장된다. 상기 희가스는, (a) 상기 밸브들(72 및 73)이 폐쇄된 때에는 밸브(71)를 통해, (b) 밸브(71)가 폐쇄된 때에는 밸브(72), 병(74) 및 밸브(73)를 통해, 상기 반응 챔버(43) 내로 연속적으로 흐른다. (b)에서, 상기 희가스는 상기 전구체를 운반하고, 상기 전구체와 함께 상기 반층 챔버(43) 내로 흐른다. 상기 밸브들의 동작과 함께 전술한 메카니즘에 의해, 상기 희가스는 상기 전구체의 투입을 펄싱하는 동안에 연속적으로 투입될 수 있다. 부가적으로, 상기 반응 챔버(43) 내에 배기 파이프(46)가 제공되며, 이것을 통하여 상기 반응 챔버(43)의 내부(51)에 있는 가스가 배기된다. 부가적으로, 상기 반응 챔버에는 상기 반응 챔버(43)의 내부(51) 속으로 씨일 가스를 도입하기 위해 씨일 가스 흐름 제어기(64)가 제공된다(상기 반응 챔버의 내부에서 반응 구역과 전달 구역을 분리하기 위한 분리판이 본 도면으로부터 생략되어 있다). 주기적인 PECVD를 위해 동일한 장치가 사용될 수 있다.
통상의 기술자라면 상기 장치는 본 명세서의 다른 곳에서 기술된 퇴적 및 반응기 세정 공정들이 수행되도록 프로그램되거나, 또는 그렇지 않으면 그러도록 구성된 하나 이상의 제어기(들)을 포함한다는 것을 인식할 것이다. 상기 제어기(들)은 통상의 기술자들에게 인식될 수 있는 것처럼, 여러 가지 전력 소오스들, 가열 시스템들, 펌프들, 로봇들, 및 상기 반응기의 가스 흐름 제어기들 또는 밸브들과 연통된다.
< 예들 >
< 예 1 >
포토레지스트 패턴이 그 위에 형성된 300 mm 실리콘 기판이 도 6에 도시된 장치에 로딩되고, 산화물 막이 아래의 표 5에서 보여지는 조건들 하에서 PEALD에 의해 퇴적된다. 그 결과들이 도 2a에서 보여진다. 본 적용에서, 타겟 막은 상기 기판의 주변을 따라 상대적으로 높은 두께를 보이는 막 프로파일을 갖도록 요구된다. 상기 표에서, 상기 용어 "POR 온리(씩)(POR only (thick))"("POR"은 기준 막의 공정- 중심 두꺼움(process of reference film-Center Thick)을 지칭한다)는 막의 두께가 약 20 nm에 도달할 때까지 저-RF 전력 산소 플라즈마 온리(only)를 사용하는 PEALD 공정을 지칭한다. 상기 용어 "POR 온리(씬)(POR only (thin))"는 막의 두께가 약 10 nm에 도달할 때까지 저-RF 전력 산소 플라즈마 온리를 사용하는 PEALD 공정을 지칭한다. 상기 용어 "에지 씩 온리(Edge Thick only)"는 막의 두께가 약 20 nm에 도달할 때까지 고-RF 전력 산소 플라즈마 온리를 사용하는 PEALD 공정을 지칭한다. 상기 용어 "Bi-layer 1"는 막의 두께가 약 10 nm에 도달할 때까지 저-RF 전력 산소 플라즈마를 사용하며, 이어서 막의 두께가 약 20 nm에 도달할 때까지 고-RF 전력 산소 플라즈마를 사용하는 PEALD 공정을 지칭한다. 상기 용어 "Bi-layer 2"는 막의 두께가 약 3 nm에 도달할 때까지 저-RF 전력 산소 플라즈마를 사용하며, 이어서 막의 두께가 약 20 nm에 도달할 때까지 고-RF 전력 산소 플라즈마를 사용하는 PEALD 공정을 지칭한다. 상기 "고-RF 전력(high-RF power)"는 3,000W에서 1.0초 동안 RF 전력의 인가를 지칭하며, 상기 "저-RF 전력(low-RF power)"는 50W에서 0.3초 동안 RF 전력의 인가를 지칭한다. 상기 용어 "에지 씩 레시피(Edge Thick recipe)"는 고 RF 전력의 인가를 지칭하며, 반면에 용어 "POR 레시피(POR recipe)"는 저 RF 전력의 인가를 지칭한다. 상기 용어 "WiW 균일도 [1시그마%] (WiW uniformity [1sigma%])"("WiW"는 "within wafer"를 지칭한다)은 1 σ%(STDEV/Ave x 100)에서의 면내(in-plane) 막 균일도를 지칭하며, 반면에 상기 용어 "WiW 균일도 [전체 범위%](WiW uniformity [Full Range %])"는 전체 범위에서 ((Max-Min)/Ave x 100)에 의해 평가된 면내 막 균일도를 지칭한다. 상기 "두께 분포(thickness distribution)"은 상기 막들의 2D 칼러 맵 분석에 의한 박막 두께 프로파일 측정의 그레이스케일(grayscale) 이미지들을 보여준다. 상기 그레이스케일 이미지들은 어두운 영역이 두꺼운 영역인지 얇은 영역인지에 대하여 정보를 제공하지 않기 때문에, 도 2b는 도 2a에서 보여지는 막들의 2D 칼러 맵 분석에 의한 박막 두께 프로파일 측정의 이미지들의 칼러 버전들을 보여준다. 상기 이미지들에서, 적색 영역은 상대적으로 높은 두께를 갖는 영역을 나타내며, 반면에 청색 영역은 상대적을 낮은 두께를 갖는 영역을 나타낸다. 상기 "개략적 프로파일(schematic profile)"은 단면에서 취할 때 상기 막들의 개략적인 윤곽을 보여준다.
조건 POR 레시피 에지 씩 레시피(Edge Thick recipe)
전구체 BDEAS BDEAS
전구체 병 온도[deg C] 35.0 35.0
캐리어 Ar [sccm] 2000 2000
O2 [sccm] 4000 4000
씨일 He [sccm] 200 200
RC 압력 [Pa] 400 400
HRF [W] 50 500
SUS 온도 [deg C] 75 75
SHD 온도 [deg C] 75 75
벽체 온도 [deg C] 75 75
갭 [mm ] 7.5 7.5
사이클 시간
[초]

소오스-투입 0.2 0.2
소오스-퍼지 0.3 0.3
RF-온 0.2 1.0
포스트-퍼지 0.1 0.1
도 2a 및 2b로부터 볼 수 있는 바와 같이, 상기 고-RF 전력의 인가는 "에지 씩 온리(Edge Thick only)"에서 보여지는 바와 같이 두꺼운 주변(대략 오목 프로파일)을 보여주는 막 프로파일에 기여하며, 반면에 저-RF 전력의 인가는 "POR only(thick)" 및 "POR only(thin)"에서 보여지는 바와 같이 두꺼운 중심(대략 볼록 프로파일)을 보여주는 막 프로파일에 기여한다. "Bi-layer 1" 및 "Bi-layer 2"에서 보여지는 바와 같이 상기 고-RF 전력 인가 및 상기 저-RF 전력 인가를 조합할 때, 상기 막 프로파일들은 두꺼운 중심과 두꺼운 주변의 양자 모두를 보여주며(예를 들어, 볼록한 막의 상부 상에 오목한 막을 중첩하는), 이것은 두꺼운 주변에 대한 요구를 만족시킨다.
아래의 표 6은 각 예에서 하지 포토레지스트의 산화의 결과를 보여준다. 용어 "PR 폭(PR width)"은 막 퇴적 이전의 포토레지스트의 폭을 지칭하며, 용어 "Depo 두께(Depo thick)"은 상기 포토레지스트 상에 퇴적된 막의 두께를 지칭하며, 용어 "CD"는 임계치수를 지칭한다(즉, 막-피복된 포토레지스트의 폭, 즉 상기 포토레지스트의 하나의 측벽 상에 형성된 산화물 막의 두께의 합, 상기 포토레지스트의 폭, 및 단면에서 수평선을 따라 취한 상기 포토레지스트의 다른 측벽 상에 형성된 산화물 막의 두께). 비록 고-RF 전력의 인가가 표 5에서 "에지 씩 온리(Edge Thick only)"에서 보여지는 바와 같이 두꺼운 주변을 보여주는 막 프로파일에 기여하지만, 상기 CD는 표 6에서 "POR only(thick)" 및 "Bi-layer 1"에 대한 68 nm와 비교하여 61 nm로 감소된다. 이것은 상기 고-RF 전력의 인가가 사용될 때, 상기 포토레지스트는 산소 플라즈마에 의해 야기되는 산화에 의해 침식되며, 그리고 연속적인 이온 충격에 기인하여 상기 포토레지스트의 폭은 감소한다. 대비적으로, 상기 저-RF 전력의 인가가 보호 산화물 막을 형성하기 위한 퇴적의 시작에서 사용될 때, 상기 보호 막은 상기 포토레지스트를 산화 및 이온 충격으로부터 보호하며, 그리고 산화물 막이 상기 포토레지스트의 폭을 감소시키지 않고 그 위에 퇴적된다. 상기 보호 막의 두께가 얇을 때("Bi-layer 2"), 상기 CD는 약간 감소되지만(상기 CD는 67 nm), 그 감소는 의미가 있는 정도는 아니다. "Bi-layer 1" 및 "Bi-layer 2"의 양자 모두는 "POR only(thick)"의 CD와 동일한 CD를 보여준다.
POR only(thick) Edge Thick only Bi-layer 1 Bi-layer 2
PR 폭 30 30 30 30
Depo 두께 20 20 20 20
CD 68 61 68 67
도 3은 표 6에서 보여지는 결과들을 포함하여, 보호 산화물 막의 CD(임계 치수)와 두께 사이의 관계를 보여주는 그래프이다. 도 3에서 보여지는 바와 같이, 상기 보호 산화물 막의 두께가 2 nm, 3 nm, 또는 7 nm 이상일 때 상기 하지층의 산화가 효과적으로 억제될 수 있다. 그러나, 상기 하지층의 산화의 억제는 상기 보호 산화물 막의 두께가 10 nm 이상일 때 안정기(plateau)에 도달한다. 따라서, 상기 보호 산화물 막이 상기 하지층의 산화를 억제하기에 효과적인 두께를 가지면, 상기 보호 산화물 막의 두께는 타겟 산화물 막의 원하는 막 프로파일에 따라서 선택될 수 있다.
상기 막 프로파일은 RF 전력 및 RF 전력의 인가의 기간을 조정함으로써 제어될 수 있다. 상대적으로 긴 주기 동안에 상대적으로 높은 RF 전력을 사용할 때, 결과되는 막 프로파일은 두꺼운 주변(오목 프로파일)을 보여주며, 반면에 상대적으로 짧은 주기 동안에 상대적으로 낮은 RF 전력을 사용할 때에는 결과되는 막 프로파일은 두꺼운 중심(볼록 프로파일)을 보여준다. 그러나, 높은 RF 전력이 오목 프로파일을 위해 사용될 때, 포토레지스트와 같은 하지층은 산화되고 침식되어, CD 성질을 열화시킨다. 다른 한편, 낮은 RF 전력이 사용될 때, 비록 상기 하지층의 산화가 억제될 수 있다 하더라도 오목 프로파일을 얻는 것은 어렵다. 상기 저-RF 전력의 인가 및 고-RF 전력의 인가를 본 시퀀스로 사용함에 따라서, 오목 프로파일을 갖는 막이 하지층의 산화를 유발하지 않으면서, 즉 CD 특성의 열화없이, 퇴적될 수 있다.
< 예 2 >
산화물 막들은 도 6에 도시된 장치를 사용하여 아래 표 7에서 보여지는 조건들 하에서 PEALD에 의해 기판들 상에 퇴적된다. "저전력 SiO(Low Power SiO)"는 도 4에서 보여지는 저 RF 전력으로 X 사이클들을 사용하여 퇴적된 SiO 막을 지칭한다. "고전력 SiO(High Power SiO)"는 도 4에서 보여지는 저 RF 전력의 자리에 고 RF 전력으로 X 사이클들을 사용하여 퇴적된 SiO 막을 지칭한다(수정된 X 사이클들). "저전력 HQ SiO(Low Power HQ SiO)"는 도 4에서 보여지는 X 사이클들 및 Y 사이클들을 포함하는 Z 사이클들을 사용하여 퇴적된 SiO 막을 지칭한다. 결과된 막들이 습식 식각 테스트를 받는다.
조건
저전력
SiO
고전력
SiO
저전력 HQ SiO
X Y
전구체 BDEAS BDEAS BDEAS N/A
전구체 병 온도[deg C]
캐리어 Ar [sccm]
50
2000
50
2000
50 50
2000 2000
O2 [sccm] 4000 4000 3000 N/A
씨일 He [sccm] 200 200 1800 1800
RC 압력 [Pa] 400 400 333 333
HRF [W] 500 500 100 500
SUS 온도 [deg C] 75 75 300 300
SHD 온도 [deg C] 75 75 150 150
벽체 온도 [deg C] 75 75 130 130
갭 [mm ] 7.5 7.5 7.5 7.5
사이클 시간
[초]

소오스-투입 0.2 0.2 0.2 N/A
소오스-퍼지 0.3 0.3 0.3 N/A
RF-온 1.0 1.0 0.2 1.2
포스트-퍼지 0.1 0.1 0.1 0.1
결과들이 도 5에 도시된다. "습식 식각율(Wet etch rate)"은 DHF(1:100에서) 를 사용한 습식 식각율을 지칭한다. 도 5에서 보여지는 바와 같이, 저-RF 전력 사이클들(X 사이클들)이 사용될 때, 결과되는 상기 SiO 막("저전력 SiO")은 습식 식각에 대해 양호한 저항성을 갖지 못한다. 고-RF 전력 사이클들(상기 수정된 X 사이클들)이 사용될 때, 결과되는 상기 SiO 막("고전력 SiO")은 습식 식각에 대해 양호한 저항성을 갖는다. 놀랍게도, 상기 저-RF 전력 사이클들 및 상기 고-RF 전력 비산화(non-oxidation) 사이클들(Z 사이클들)의 조합이 사용될 때, 결과되는 SiO 막("저전력 HQ SiO")은 습식 식각에 대해 우수한 저항성을 나타낸다. 나아가, 상기 X 사이클들 및 상기 Y 사이클들에 의해 구성된 상기 Z 사이클들이 반복될 때, 결과되는 SiO 막은 특히 두께 방향에서 상기 막을 통하여 실질적으로 균일한 조성들을 가질 것으로 예상된다. 즉, 상기 Y 사이클들은 포스트-퇴적 표면 처리가 아니라, 상기 사이클들의 일부이다.
수많은 그리고 여러 가지 수정들이 본 발명의 범위로부터 벗어나지 않고 이루어질 수 있다는 것은 통상의 기술자들이 이해할 수 있을 것이다. 따라서, 본 발명의 형태들은 단지 예시적인 것이며, 본 발명의 사상을 제한하려는 의도가 아니라는 것은 명백히 이해되어야 한다.

Claims (20)

  1. 플라즈마-지원 주기적 처리에 의한 산화물 막을 형성하는 방법으로서,
    (i) 기판이 위치하는 반응 공간으로 전구체를 공급하는 단계;
    (ii) 전구체를 공급하지 않고 제1 시간 주기 동안에 상기 반응 공간에 제1 RF 전력을 인가하는 단계; 및
    (iii) 전구체를 공급하지 않고 제2 시간 주기 동안에 상기 반응 공간에 제2 RF 전력을 인가하는 단계;를 포함하며,
    상기 제1 RF 전력은 상기 제2 RF 전력보다 낮으며, 및/또는 상기 제1 시간 주기는 상기 제2 시간 주기보다 짧으며,
    상기 산화물 막은 제1 산화물 층 및 제2 산화물 층으로 구성되고, 단계 (iii) 없이 단계 (i) 및 (ii)는 제1 사이클을 구성하며, 상기 제1 사이클을 반복함으로써 상기 제1 산화물 층이 상기 기판 상에 형성되며, 단계 (ii) 없이 단계 (i) 및 (iii)는 제2 사이클을 구성하며, 상기 제2 사이클을 반복함으로써 상기 제2 산화물 층이 상기 제1 산화물 층 상에 형성되며, 상기 제1 및 제2 사이클들에서 사용된 전구체들은 동일한 것을 특징으로 하는 방법.
  2. 삭제
  3. 청구항 1에 있어서,
    상기 제1 사이클은 상기 제1 산화물 층이 2 nm 이상의 두께를 가질 때까지 반복되는 것을 특징으로 하는 방법.
  4. 청구항 3에 있어서,
    상기 제1 산화물 층의 두께는 20 nm 이하인 것을 특징으로 하는 방법.
  5. 청구항 1에 있어서,
    단계 (ii)는 상기 제1 산화물 층이 상기 제1 산화물 층의 주변을 따라서 보다도 상기 제1 산화물 층의 중심에서 더 두꺼운 상기 제1 산화물 층의 두께를 보여주는 막 프로파일을 가지도록 제어되며, 단계 (iii)는 상기 제2 산화물 층이 상기 제2 산화물 층의 중심 보다도 상기 제2 산화물 층의 주변을 따라서 더 두꺼운 상기 제2 산화물 층의 두께를 보여주는 막 프로파일을 가지도록 제어되는 것을 특징으로 하는 방법.
  6. 청구항 1에 있어서,
    상기 제2 산화물 층은 상기 제1 산화물 층 보다 더 두꺼우며, 상기 산화물 막은 중심과 주변 사이의 영역에서 보다 상기 산화물 막의 상기 중심에서 그리고 상기 주변을 따라서 더 두꺼운 상기 산화물 막의 두께를 보여주는 막 프로파일을 갖는 것을 특징으로 하는 방법.
  7. 청구항 1에 있어서,
    산화 가스가 단계 (i), 단계(ii), 및 단계(iii)을 통하여 상기 반응 공간으로 연속적으로 공급되는 것을 특징으로 하는 방법.
  8. 청구항 1에 있어서,
    상기 제1 RF 전력은 0.14 W/㎠(상기 기판의 단위 면적당 와트) 보다 많지 않으며, 상기 제2 RF 전력은 0.14 W/㎠ 보다 적지 않지만 1.13 W/㎠ 보다 많지 않은 것을 특징으로 하는 방법.
  9. 청구항 1에 있어서,
    상기 제1 산화물 층 및 상기 제2 산화물 층은 연속적으로 형성되며, 상기 산화물 막은 두께 방향에서 실질적으로 균일한 조성들로 구성되는 것을 특징으로 하는 방법.
  10. 플라즈마-지원 주기적 처리에 의한 산화물 막을 형성하는 방법으로서,
    (i) 기판이 위치하는 반응 공간으로 전구체를 공급하는 단계;
    (ii) 전구체를 공급하지 않고 제1 시간 주기 동안에 상기 반응 공간에 제1 RF 전력을 인가하는 단계; 및
    (iii) 전구체를 공급하지 않고 제2 시간 주기 동안에 상기 반응 공간에 제2 RF 전력을 인가하는 단계;를 포함하며,
    상기 제1 RF 전력은 상기 제2 RF 전력보다 낮으며, 및/또는 상기 제1 시간 주기는 상기 제2 시간 주기보다 짧으며,
    상기 산화물 막은 다중의 서브-산화물 층들로 구성되며, 단계들 (i), (ii), 및 (iii)는 하나의 사이클을 구성하며, 상기 사이클을 반복함으로써 상기 다중의 서브-산화물 층들은 상기 기판 상에 형성되며, 단계 (ii)에서 산화 가스가 공급되고, 단계 (iii)에서 산화 가스가 공급되지 않는 것을 특징으로 하는 방법.
  11. 청구항 10에 있어서,
    상기 사이클을 적어도 하나의 제1 서브-사이클 및 적어도 하나의 제2 서브-사이클로 구성되며, 각각의 제1 서브-사이클은 단계 (iii) 없이 단계 (i) 및 (ii)로 구성되며, 각각의 제2 서브-사이클은 단계(i) 및 (ii) 없이 단계 (iii)으로 구성되는 것을 특징으로 하는 방법.
  12. 청구항 11에 있어서,
    각각의 제1 서브-사이클은 상기 산화물 막을 구성하는 서브-층을 퇴적하며, 각각의 제2 서브-사이클은 서브-층을 퇴적하지 않는 것을 특징으로 하는 방법.
  13. 청구항 10에 있어서,
    상기 사이클은 적어도 하나의 제1 서브-사이클 및 적어도 하나의 제2 서브-사이클로 구성되며, 각각의 제1 서브-사이클은 단계 (iii) 없이 단계 (i) 및 (ii)로 구성되며, 각각의 제2 서브-사이클은 단계 (ii) 없이 단계 (i) 및 (iii)으로 구성되는 것을 특징으로 하는 방법.
  14. 청구항 11에 있어서,
    상기 제1 서브-사이클에서, 상기 산화 가스는 500 sccm 내지 2,000 sccm의 유량으로 상기 반응 공간으로 연속적으로 공급되며, 상기 제2 서브-사이클에서는 산화 가스가 상기 반응 공간으로 공급되지 않는 것을 특징으로 하는 방법.
  15. 청구항 10에 있어서,
    상기 제1 RF 전력은 0.14 W/㎠(상기 기판의 단위 면적당 와트) 보다 많지 않으며, 상기 제2 RF 전력은 0.14 W/㎠ 보다 적지 않지만 1.13 W/㎠ 보다 많지 않은 것을 특징으로 하는 방법.
  16. 청구항 10에 있어서,
    RF 전력 인가를 위한 상기 제1 시간 주기는 0.1초 내지 1.5초이며, RF 전력 인가를 위한 상기 제2 시간 주기는 상기 제1 시간 주기보다 더 긴 것을 특징으로 하는 방법.
  17. 청구항 10에 있어서,
    상기 제1 RF 전력 및 상기 제2 RF 전력을 동일하며, RF 전력 인가를 위한 상기 제1 시간 주기는 RF 전력 인가를 위한 상기 제2 시간 주기보다 더 짧은 것을 특징으로 하는 방법.
  18. 청구항 12에 있어서,
    상기 서브-층들은 연속적으로 형성되며, 상기 산화물 막은 두께 방향에서 실질적으로 균일한 조성들로 구성되는 것을 특징으로 하는 방법.
  19. 청구항 1 또는 10에 있어서,
    상기 단계들 (i) 및 (iii)은 50℃ 내지 400℃의 온도에서 수행되며, 상기 제1 및 제2 RF 전력들은 상기 기판이 위치하는, 7 mm 내지 15 mm 만큼 떨어져 있는 전극들 사이에서 하전되는 것을 특징으로 하는 방법.
  20. 청구항 1 또는 10에 있어서,
    상기 플라즈마-지원 주기적 처리는 플라즈마 강화 원자층 퇴적(PEALD)인 것을 특징으로 하는 방법.
KR1020150061391A 2014-05-02 2015-04-30 저-산화 플라즈마-지원 공정 KR102370787B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/268,348 2014-05-02
US14/268,348 US9464352B2 (en) 2014-05-02 2014-05-02 Low-oxidation plasma-assisted process

Publications (2)

Publication Number Publication Date
KR20150126783A KR20150126783A (ko) 2015-11-13
KR102370787B1 true KR102370787B1 (ko) 2022-03-07

Family

ID=54354840

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150061391A KR102370787B1 (ko) 2014-05-02 2015-04-30 저-산화 플라즈마-지원 공정

Country Status (3)

Country Link
US (1) US9464352B2 (ko)
KR (1) KR102370787B1 (ko)
TW (1) TWI647330B (ko)

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015188028A (ja) * 2014-03-27 2015-10-29 東京エレクトロン株式会社 薄膜形成方法、及び、薄膜形成装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR20160129160A (ko) * 2015-04-29 2016-11-09 삼성디스플레이 주식회사 박막 트랜지스터 표시판 및 그 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR101702840B1 (ko) 2015-09-08 2017-02-06 주식회사 만도 유압 브레이크 시스템의 맥동 저감 장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
TWI746624B (zh) * 2016-09-01 2021-11-21 美商Asm Ip控股公司 形成碳氫基底極薄膜之保護層的方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) * 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11056345B2 (en) * 2017-12-19 2021-07-06 Asm Ip Holding B.V. Method for manufacturing semiconductor device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11542589B2 (en) * 2018-03-21 2023-01-03 Applied Materials, Inc. Resistance-area (RA) control in layers deposited in physical vapor deposition chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190345608A1 (en) * 2018-05-08 2019-11-14 Lam Research Corporation Method of providing a plasma atomic layer deposition
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190378725A1 (en) * 2018-06-08 2019-12-12 Lam Research Corporation Method for transferring a pattern from an organic mask
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN111218667A (zh) * 2018-11-23 2020-06-02 北京北方华创微电子装备有限公司 一种气体分配装置的表面处理方法及沉积设备
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11296084B2 (en) * 2019-09-29 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition method, semiconductor device and method of fabricating the same
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US20230245896A1 (en) * 2020-07-23 2023-08-03 Lam Research Corporation Conformal thermal cvd with controlled film properties and high deposition rate
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6846711B2 (en) * 2000-03-02 2005-01-25 Tokyo Electron Limited Method of making a metal oxide capacitor, including a barrier film
US7141278B2 (en) * 2000-06-08 2006-11-28 Asm Genitech Korea Ltd. Thin film forming method
KR100790779B1 (ko) * 2006-06-09 2008-01-02 주식회사 아이피에스 갭 필 능력을 향상시킨 절연막 증착 방법
KR101013413B1 (ko) * 2008-01-07 2011-02-14 한국과학기술연구원 플라즈마 표면 처리를 이용한 투명 기체 차단 필름의 제조방법 및 이로부터 제조된 투명 기체 차단 필름
US8637411B2 (en) * 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법

Also Published As

Publication number Publication date
TWI647330B (zh) 2019-01-11
US9464352B2 (en) 2016-10-11
US20150315704A1 (en) 2015-11-05
TW201542858A (zh) 2015-11-16
KR20150126783A (ko) 2015-11-13

Similar Documents

Publication Publication Date Title
KR102370787B1 (ko) 저-산화 플라즈마-지원 공정
JP7162456B2 (ja) 負バイアスを用いてpealdによって膜を堆積する方法
US10720322B2 (en) Method for forming silicon nitride film selectively on top surface
US10283353B2 (en) Method of reforming insulating film deposited on substrate with recess pattern
US9627221B1 (en) Continuous process incorporating atomic layer etching
KR102306470B1 (ko) 감소된 트리밍 레이트에서 탄소 함유 막을 트리밍하는 방법
US9909214B2 (en) Method for depositing dielectric film in trenches by PEALD
US9365924B2 (en) Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power
KR20210117157A (ko) 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20180116761A (ko) 반응물 가스의 램프 다운 유동을 이용한 플라즈마-지원 주기적 증착 방법
JP2017147444A (ja) トレンチの側壁又は平坦面に選択的に窒化ケイ素膜を形成する方法
KR101979931B1 (ko) PECVD SiO2 패시베이션을 사용한 IGZO 및 ZNO TFT 제조 방법
KR20180060983A (ko) 토폴로지상 제한된 플라즈마-강화 순환 증착의 방법
KR20160088818A (ko) 플라즈마 강화 원자 층 식각의 방법
US20210025058A1 (en) Flowable film curing using h2 plasma
US9418863B2 (en) Method for etching etching target layer
TW201840248A (zh) 電漿處理方法及電漿處理裝置
JP7233173B2 (ja) トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
JP7405572B2 (ja) 酸窒化膜を形成する方法
CN113853450A (zh) 在处理腔室内的等离子体致密化
KR20240002703A (ko) 기판 처리 방법
TW201903885A (zh) 含矽間隔物的選擇性形成
KR20230107131A (ko) 실리콘 옥시카바이드 층을 형성하기 위한 방법 및 시스템, 그리고 이를 사용하여 형성된 구조체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant